• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(188)
  • 리포트(177)
  • 자기소개서(4)
  • 시험자료(3)
  • 방송통신대(2)
  • 논문(1)
  • 이력서(1)

"7세그먼트 레포트" 검색결과 1-20 / 188건

  • 메카트로닉스 BCD스위치 7세그먼트 표시 실험 레포트
    불을 켜 줌으로써 숫자를 나타낼 수 있음.2.실험 방법- 7 세그먼트의 이용 및 특징대부분의 7세그먼트 표시 장치 발광 다이오드(LED)로 각 획을 표시하지만 음극 방전관이나 진공관 ... 메카트로닉스 및 실습실습보고서[BCD 스위치의 값을 7-세그먼트에 표기하기]■과목명:메카트로닉스 및 실습■담당교수:■제출일:■학과:■학번:■성명:1.실험 개요- 실험 목적7-segments를 ... 통해 7개의 LED로 숫자를 표시할 수 있습니다.각각 점등되는 LED를 통해 스위치를 활용하여 점등, 소등을 통해 0부터 9까지의 숫자를 표현하는 회로도를 만듭니다.- 7세그먼트
    리포트 | 4페이지 | 2,000원 | 등록일 2021.04.25
  • 디지털 논리 회로 실험 레포트 [7세그먼트로 숫자표현]
    Type은 내부 LED의 Cathode가 Common Pin에 연결되고, Anode각 각각의 8개의 Pin으로 연결되는 7세그먼트이다.그림 11 7-segmentⅣ. ... 디지털 논리 설계프로젝트 3 레포트Ⅰ. 목표불대수와 진리표, 카노맵 등을 이용하여 주어진 문제를 간소화하고 이를 브레드 보드를 이용하여 구현한다. ... Common-Cathode Type으로 구별 되는 것이다.Common-Anode Type은 내부 LED의 Anode가 Common Pin에 연결되고, Cathode각 각각의 8개의 Pin으로 연결되는 7세그먼트이다.Common-Cathode
    리포트 | 10페이지 | 1,000원 | 등록일 2020.01.03
  • 디지털회로실험 7-세그먼트, 비동기식 카운터 실험 레포트
    기본 이론- BCD × 7- 세그먼트 디코더- 7-세그먼트(7-segment)는 [그림 1]과 같이 LED 7개로 숫자를 표시한다.- 7-세그먼트(7-segment)는 0000 ~ ... 세그먼트의 값이 0부터 9까지 출력된다.- 7-세그먼트 회로에 전원 공급기로 케이블을 연결하여 5[V]의 전압을 인가한다.- 7-세그먼트 회로의 입력을 바꿔가며, 입력에 따른 출력( ... 실험 결과7-세그먼트 디코더 및 표시기 실험 결과D : 0, C : 0, B : 0, A 1010 ~ 1111까지의 입력을 가하면 7-세그먼트에 에러가 출력되는 것을확인할 수 있었다
    리포트 | 10페이지 | 1,500원 | 등록일 2020.12.13
  • 7세그먼트 레포트
    7-세그먼트 LED 디코더와 표시회로실험목적- 7-세그먼트 LED 표시소자 및 디코더를 이해하고 실험으로 이론을 입증한다.실험이론1) 디코더 원리7-세그먼트 표시기라고 하는 소자는 ... 여기서 막대 모양의 LED 하나를 세그먼트(segment)라고 하며, LED가 7개 사용됨으로 7세그먼트 표시기라고 이름이 붙여졌다.2) 7세그먼트 LED 디코더그림에서 입력단에 BCD ... 코드값 DCBA=0111 일 때 출력 a~g 가운데 a,b,c가 0이 되도록 동작하는 논리회로가 7-세그먼트 LED 디코더이다.3) 7-세그먼트의 표시상태애노드 공통형 BCD-7
    리포트 | 5페이지 | 1,000원 | 등록일 2013.03.26
  • 실험5 7-세그먼트 디코더-예비레포트
    표시되는 지를 나타낸 그림이다.BCD/7-세그먼트 디코더는 4비트로 구성된 BCD 값을 입력으로 받아들여 7세그먼트 표시기에 해당 숫자 (0~9)가 표시되도록 7세그먼트의 입력 단자 ... PAGEREF _Toc401081094 \h 6실험 목적BCD/7-세그먼트 디코더 드라이버에 대해 알아보고 이를 이용한 회로를 구성해 본다.관련 이론7 세그먼트 표시기는 0~9까지의 ... BCD-to-7 세그먼트 디코더 기능을 수행하는 TTL 칩으로서는 7446, 7447 (애노드 공통형)과7448, 7449 (캐소드 공통형)이 있다.위 그림을 보면 7-세그먼트의 각
    리포트 | 7페이지 | 1,000원 | 등록일 2017.03.07
  • 7세그먼트레포트
    BCD-7세그먼트(결과)◎ 진리표입 력출 력ABCDabcdefg*************01011000000101101101001111110010100011001101011011011011010111110111111000010001111111100111110111010XXXXXXX1011XXXXXXX1100XXXXXXX1101XXXXXXX1110XXXXXXX1111XXXXXXX
    리포트 | 4페이지 | 1,500원 | 등록일 2009.09.08
  • 논리회로실험레포트) BCD 수체계, 7-세그먼트
    MAN72 7-세그먼트 디스플레이4. 4조 DIP 스위치5. ... 회로결선과 그에 따른 7-세그먼트의 디스플레이 변화에 대해 알아보았다. ... 회로 구성 후 BCD 스위치 조합에 의한 7-세그먼트 디스플레이 확인.0000(0)0001(1)0010(2)0011(3)0100(4)0101(5)0110(6)01111(7)1000(
    리포트 | 10페이지 | 2,000원 | 등록일 2015.10.19
  • 디지털회로 실험 7-세그먼트 결과 레포트 (시뮬레이션)
    7-세그먼트 디코더실험결과1. ... 실험과정 5.4의 결과에 따라서 진리표를 만들고, 7-세그먼트 LED의 출력을 사진으로 첨부하시오.4진수 : 0 , B : 0, A : 0일때의 7-segment 시물레이션4진수 : ... 두 번째 실험은 BCD/7-segment 디코더 드라이버를 연결 하고 7-segment LED를 연결해서 숫자를 확인하는 실험이었다.
    리포트 | 4페이지 | 1,000원 | 등록일 2013.12.09
  • 디지털로직실험 BCD(binary coded decimal), 7세그먼트 수체계 레포트
    dip스위치에서 2진수 1000으로 설정했다면 디스g출력이고 MAN72 7-세그먼트의 g입력으로 연결된다는 걸 볼 수 있다. 7-세그먼트의 g입력을 다음 그림에서 확인해 보면 10번 ... 세그먼트로 표시해 주는 디지털 시스템 구성모의실험용으로 결합을 만들어 놓은 회로의 고장 진단.데이터 및 관찰 내용:입력출력2진수BCD수7-세그먼트 디스플레이00000********* ... 표의 출력 열에 7-세그먼트 디스플레이 출력에 어떤 영향이 있는지 표에 기록하여라. 각 결함은 다른 결함에 독립적이라고 가정하여라.
    리포트 | 9페이지 | 3,000원 | 등록일 2015.06.03
  • 7세그먼트 LED 응용 프로그램 [마컴 예비레포트]
    20721583 박민연마이크로컴퓨터11월 29일자 예비레포트실험 15주차 A/D Converter ADC3 Input7세그먼트 LED 응용 프로그램1. ... 실험 이론⑴ 7세그먼트 LED의 구조 및 종류7세그먼트는는 기본적인 출력장치로 사용하여 와ㅤㅏㅆ다. 7개의 LD+ED 세그먼트로 구성되며, 소숫점을 표현할 때 사용하는 dp(dot ... LED에는 1개의 소자로 1자리의 문자만을 표시하는 것에서부터 여러자리의 문자를 표시할 수 있는 것까지 다양한 모델이 있다.7세그먼트 LED는 각 세그먼트를 구성하는 LED의 회로구성에
    리포트 | 16페이지 | 1,000원 | 등록일 2012.05.22
  • 7세그먼트를 이용한 전압측정기 레포트
    위와는 다르게 실제 우리가 사용한 7-Segment는 10개의 pin이 있다. ... 측정하기 위한 전압을 7107에 인가하면 IC내부에 내장된 컨버터를 통해 각 7-Segment를 구동시킨다(전압이 표시됨). ... 이 IC를 쓰는 이유는 위에서도 설명했다시피 ICL7107에는 -5V의 구동 전압이 필요하기 때문이다.-7 Segment소수점(DP)까지 포함하여 총 8개의 LED를 배열 한 것이다
    리포트 | 6페이지 | 1,000원 | 등록일 2010.03.25
  • 기초전자회로실험 예비레포트 7세그먼트 7-Segment
    부르며, 7개의 세그먼트가 있기 때문에 7세그먼트 발광 다이오드라 부르고 있다.실제로 점등시키기 위해서는 common 단자에 마이너스를 필요한 세그먼트의 단자에 저항을 통해 플러스의 ... 1000 8 1001 97-Segment7세그먼트 발광 다이오드란? ... 디코더를 이용하여 7-세그먼트에 디스플레이하는 회로 구성2. 실험 준비물? 전원공급기 - ED-330? Digital multimeter - DM411B?
    리포트 | 5페이지 | 1,000원 | 등록일 2009.09.18
  • 마프응용실험-7세그먼트결과+예비레포트
    여기서 막대 모양의 LED 하나 하나를 세그먼트 (segment)라고 하며 LED가 7개 사용됨으로 7세그먼트 표시기라고 이름이 붙여졌다.2. 7segment 의 종류7세그먼트 표시기는 ... 7세그먼트 표시기라고 하는 소자는 0에서 9까지의 숫 자를 표시하기 위해 만들어진 소자로 7세그먼트 표시기는 막대모양의 LED(Light Emitted Diode) 7개를 8자 모양으로 ... 구동 시 8 + 6= 12개 필요.)4. 8051 점등폰트 형성: 7세그먼트 구동에 있어서 스캔 개념과 더불어 7세그먼트 폰트 제작은 매우 중요한데 이에 대한 이해가 필요하다.표시
    리포트 | 8페이지 | 1,000원 | 등록일 2009.12.19 | 수정일 2016.08.27
  • 전기및디지털회로실험 실험8 결과보고서
    전기및디지털회로실험결과레포트이름 :학번 :학과 :담당교수 :목차실험 명2실험 개요2실험 결과2결과 보고서7실험 고찰8실험명실험 8. 숫자표시기와 응용2. ... 기존 7-세그먼트는 입력이 7개로, 전용 디코더의 출력을 인가받아야 사용할 수 있는데 디코더의 7개 출력신호를 받음으로써 각각의 7개 출력을 동시에 입력받을 수밖에 없으니 모든 세그먼트 ... 입력신호에 따른 7-세그먼트의 출력상태를 확인하는 실험이었다. 7447의 진리표를 확인해보면 각 숫자에 따른 각 세그먼트의 출력신호가 정해져 있으므로 7447의 핀배치와 7-세그먼트
    리포트 | 8페이지 | 1,000원 | 등록일 2024.03.12
  • 전기및디지털회로실험 실험M3 예비보고서
    전기및디지털회로실험예비레포트담당교수 :학과 :학번 :이름 :목차실험 명2실험 개요2이론 조사2실험 기기2예비보고서 문제풀이2실험 순서3참고 문헌6실험명실험 M3. ... int E=6;int F=5;int G=4; // 7세그먼트와 아두이노간 연결되는 핀 설정void setup(){Serial.begin(9600); // 통신보율 설정pinMode( ... );pinMode(4, OUTPUT); // 7세그먼트로 갈 디지털출력핀 설정}void loop(){double value= analogRead(pin_r); // 아날로그 입력으로
    리포트 | 6페이지 | 1,000원 | 등록일 2023.06.30
  • Vivado를 이용한 BCD to 7segment decoder의 구현 예비레포트
    Vivado를 이용한 BCD to 7segment decoder의 구현예비레포트1. 실험 제목1) Vivado를 이용한 BCD to 7segment decoder의 구현2. ... LED의 배열이라고 생각하면 된다.아래는 7세그먼트의 7개 영역을 이용해서 숫자를 조합한 모습이다.1) common-anode type7세그먼트는 모든 획의 LED에 연결되어 있는 ... 소수를 나타내기 위해서 숫자의 오른쪽 아래에 소수점(DP)이 붙는 경우도 있다.[3]7세그먼트는 FND(Flexible Numeric Display) 라고 표현하기도 한다.7세그먼트
    리포트 | 4페이지 | 1,000원 | 등록일 2022.08.26 | 수정일 2022.08.29
  • 전기및디지털회로실험 실험 M3 결과보고서
    전기및디지털회로실험결과레포트담당교수 :학과 :학번 :이름 :목차실험 명2실험 개요2실험 결과2결과 보고서3실험 고찰7실험명실험 M3. 숫자표시기 응용2. ... int G=8; // 7세그먼트와 아두이노간 연결되는 핀 설정int H=9; int i;void setup(){Serial.begin(9600); // 통신보율 설정for(i=2;i ... 1) 실험에서 작성한 각 프로그램의 작성, 실행 및 디버깅 과정을 순서대로 자세히 기술한다.- 숫자표시기 + 아날로그 회로예비보고서 단계에서 작성했던 스케치와 실험실에서 사용하는 세그먼트와의
    리포트 | 7페이지 | 1,000원 | 등록일 2024.03.12
  • 전기및디지털회로실험 실험8 예비보고서
    이 숫자표시기 회로는 이후의 실험에서 숫자를 나타내기 위해 자주 등장하므로 이를 사용하는 회로의 구성과 사용법을 완벽하게 익혀 놓도록 한다.이론조사-7 세그먼트 표시기7세그먼트 표시 ... 또한 자동적인 것은 아니지만, 사람이 획을 움직여 숫자를 표시하는 장비에서도 7세그먼트 표시 장치와 유사한 모양으로 획이 배치되어 있기도 하다.LED로 구현된 7세그먼트 표시 장치는 ... 전기및디지털회로실험예비레포트담당교수 :학과 :학번 :이름 :목차실험 명실험 개요이론 조사실험 기기예비보고서 문제풀이실험 순서실험명실험 8. 숫자표시기와 응용2.
    리포트 | 6페이지 | 1,000원 | 등록일 2023.06.30
  • 7-세그먼트 표시기와 디코더 결과보고서A+
    Experiment-Report(10장 7-세그먼트 표시기와 디코더)1. ... 실험목적디지털 기기에 많이 사용되는 7-세그먼트에 대한 이해를 하고 BDC to 7-세그먼트 디코더를 설계한다.2. ... 자료 및 관찰TL = 0일 때 7세그먼트에 불이 들어오는 모습이다. 위 사진을 봤을 때 회로와 7-세그먼트는 정상 작동함을 알 수 있다.
    리포트 | 9페이지 | 1,000원 | 등록일 2020.03.05 | 수정일 2020.03.12
  • 전자전기컴퓨터설계실험2(전전설2) (8) 7-Segment and PIEZO Control
    7-Segment and PIEZO Controlpost-lab report7-Segment and PIEZO Controlpost-lab report과목명전자전기컴퓨터설계실험2담당 ... [사진 46]의 소스코드는 피에조/7-세그먼트의 입출력을 버튼 스위치와 버스 스위치, 피에조와 7-세그먼트에 맵핑한 것이며, [사진 47]의 소스코드는 피에조/7-세그먼트의 동작을 ... [표 3] 피에조/7-세그먼트 시뮬레이션 결과[사진 49] 피에조/7-세그먼트(BTN = 2’b00000001)[사진 50] 피에조/7-세그먼트(BTN = 2’b00000010)[사진
    리포트 | 22페이지 | 2,000원 | 등록일 2019.10.12 | 수정일 2021.04.29
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:48 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대