• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

VHDL 카운터 설계 및 시뮬레이션

소희짱
개인인증판매자스토어
최초 등록일
2017.11.26
최종 저작일
2017.06
18페이지/ 한컴오피스
가격 2,000원 할인쿠폰받기
다운로드
장바구니

목차

Ⅰ. 실습목적

Ⅱ. 이론
(1) SR-F/F (Set/Reset-Flip/Flop)
(2) Gated SR-F/F
(3) D-F/F
(4) Master-Slave D-F/F
(5) Master-Slave D-F/F with PRESET&CLEAR
(6) Toggled Master-Slave D-F/F
(7) JK-F/F
(8) Ripple Counter
(9) Divided-by-N Ripple Counter

Ⅲ. 실습내용 및 결과
(1) 16진 카운터
(2) 10진 카운터
(3) 5-6-7반복 13진 카운터
(4) 2-13반복 12진 카운터

Ⅳ. 실습소감

본문내용

Ⅰ. 실습목적
기억소자로 사용 중인 Flip-Flop을 구현하는 방법을 공부한다.
Flip-Flop을 이용하여 카운터(16진, 10진, 5-6-7반복 3진, 2-13반복 12진)의 VHDL 코드를 짜보고 쿼터스를 통해 시물레이션 돌린 뒤 실습보드에 다운 받아 그 결과를 확인한다.
또한, VHDL의 문법 반복문 process문과 if문을 이해한다.

Ⅱ. 이론
컴퓨터는 연산 기능을 수행해야 되기 때문에 저장 기능을 지녀야 한다. 1비트의 정보를 저장하는 회로를 플립플롭(Flip-Flop)이라 한다.

(1) SR-F/F (Set/Reset-Flip/Flop)
SR-F/F은 NAND 게이트 두 개로 이루어져 있다. 두 개의 입력 S와 R이 있고, 두 개의 출력 Q와 /Q가 있는데 이는 보수 관계 이므로 같은 값이 나올 수 없다.
플립플롭의 출력값은 Q이고 이 값이 다른 값으로 바뀔 때까지 이 플립플롭의 저장 값이 된다.

Active Low이므로 Set가 0일 때 Q가 1이고 Reset이 0일 때 /Q가 1이 나온다.
NAND 게이트를 사용하였으므로 한 입력이 0이면 다른 입력과 상관없이 출력은 항상 1이 나온다.

참고 자료

없음
소희짱
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 디시설 - ‘1’ 개수 카운터 Leading one 카운터 설계 6페이지
    VHDL 코드- 코드 주요 내용 동작 부분 해석for ~ loop문 ... 결과 보고서( ‘1’ 개수 카운터 / Leading one 카운터 설계 ) ... 제목‘1’ 개수 카운터 / Leading one 카운터 설계실습 목적이 실습에서는
  • 디지털 시계 실습 vhdl 레포트 (12,60진 카운터) 19페이지
    HDL 실습-component 문을 이용한 시계 설계-목차———————— ... ~12시에는 1의 자리 카운터가 0,1,2의 값을 가져야 하기 때문이다.Vhdl를 ... ---------------------p.18DE2 보드를 이용한 결과
  • VHDL_4_counter, sequence detector, 4way traffic light counter, Soda vending machine 34페이지
    시뮬레이션 결과 설명I) 20ns 이하, clock =지는 시간이 다르기 ... 시뮬레이션 결과 설명I) 50ns 이하, clock = falling_edge ... 시뮬레이션 결과 설명I) 50ns 이하, clock = falling_edge
  • SoC 보고서 - 1.동기통신(PS2) 22페이지
    그 원인을 알아보는 과정에서 VHDL 문법적인 부분과 시뮬레이션 과정에 대해 ... 시뮬레이션 결과 설명 ------------------------ p.15A ... 그러기 위해서는 edge를 감지하고 카운터를 이용해서 일정시간이 지난 후
  • [논리회로설계실험]VHDL을 활용한 CLOCK설계 12페이지
    이해이번 실습에서는 자일링스의 사용법을 바탕으로 clock을 설계해보았다. ... VHDL에서 코딩과정은 시간의 각 자리숫자를 하나씩 연산하여 나타내게 되는데 ... Source & Results1)VHDL Source2)Testbench
더보기
최근 본 자료더보기
탑툰 이벤트
VHDL 카운터 설계 및 시뮬레이션 무료자료보기
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 19일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:11 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기