• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,501)
  • 리포트(2,314)
  • 시험자료(79)
  • 자기소개서(65)
  • 방송통신대(21)
  • 논문(17)
  • 서식(4)
  • 이력서(1)

"카운터 설계" 검색결과 1-20 / 2,501건

  • 파일확장자 11진 카운터 설계
    설계 과정 - 설계 과정은 사진으로 첨부하였습니다.
    리포트 | 2페이지 | 3,000원 | 등록일 2022.09.11
  • 워드파일 업다운 카운터 verilog 설계
    제목동기식 BCD 카운터 설계실습 목적동기식 카운터는 순차논리회로에서 예제로 가장 많이 사용된다. ... BCD 카운터는 0에서 9까지 카운트하므로 앞에서 설계한 Up_down 카운터와 마찬가지로 10개의 상태를 정의하고, 클럭의 상승 에지에서 1씩 증가하도록 한다. ... 일상샐활에서는 10진수를 주로 사용하므로, 이 실습에서는 10진수를 2진수로 표현하는 BCD 카운터설계한다.
    리포트 | 3페이지 | 2,000원 | 등록일 2020.12.19
  • 워드파일 비동기 카운터, 동기 카운터 설계 예비레포트
    비동기 카운터, 동기 카운터 설계예비레포트1. 실험 제목1) 비동기 카운터2) 동기 카운터 설계2. ... 2) 동기 카운터 설계- 임의 시퀀스의 16-상태 동기 카운터 설계- 카운터의 구성 및 검사 그리고 카운터의 상태 다이어그램 작성3. ... 실험 목적1) 비동기 카운터- 비동기 업-카운터와 다운-카운터설계 및 분석- 카운터의 모듈러스(modulus) 변환- IC 카운터 사용과 카운트 시퀀스 절단(truncation)
    리포트 | 9페이지 | 1,000원 | 등록일 2022.10.09
  • 워드파일 비동기 카운터, 동기 카운터 설계 결과레포트
    비동기 카운터, 동기 카운터 설계결과레포트1. 실험 제목1) 비동기 카운터2) 동기 카운터 설계2. ... 실험 결과1) 비동기 카운터(1) QA vs QB(2) QA vs QC(3) QA vs QD- 결과를 바탕으로 완성된 그래프2) 동기 카운터 설계(1) QA vs QB(2) QA vs ... 카운터 설계 실험에서는 예비레포트를 작성할 때 만들었던 6-mod Gray code synchronous counter를 회로로 구현해 작동을 확인하였다.
    리포트 | 4페이지 | 1,000원 | 등록일 2022.10.09
  • 한글파일 논리회로실험 카운터 설계
    논리회로설계 실험 예비보고서 #7실험 7. 카운터 설계1. ... 동시에 동작시킨다.- 순차 논리회로의 설계에 따라 설계할 수 있다.- 카운터의 동작 시 전파지연시간이 없다.- 아래의 그림은 4비트 mod - 16 리플 카운터의 논리회로도 이다. ... 설계를 해본다.2.
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • 워드파일 동기 카운터 설계 결과레포트
    결과표동기 카운터 설계 회로사진→ 와 클락 파형 비교→ 와 클락 파형 비교→ 와 클락 파형 비교2. ... 고찰동기카운터설계해서 위에 그림과 같이 나오게 회로를 구상하고 클락과 파형을 비교해 보았다. 오실로스코프 프로브가 불안정해서 파형이 찌그러지게 나왔다. ... 기초회로실험2 결과레포트실험제목동기 카운터 설계학 과학 번성 명실험 조지도교수1.
    리포트 | 4페이지 | 1,500원 | 등록일 2021.12.19
  • 워드파일 [예비보고서] 11.카운터 설계
    예비 보고서설계실습 11. 카운터 설계11-3. ... 이번에 설계할 8진 비동기 카운터는 여기에서 하나의 Flip Flop을 더하여 설계한다. ... 설계8진 비동기 카운터의 회로도를 그린다.
    리포트 | 3페이지 | 1,000원 | 등록일 2023.01.03
  • 한글파일 디지털공학 32진 카운터 설계
    학번이름분반조실험 제목32진 카운터 설계사용 부품7-segment 2개, 저항 330Ω 2개, 7490 2개, 7447 2개, 7408 1개회로도실제회로동작사진동작원리7490 IC는 ... 이로서 0부터 31까지 카운트되는 32진 카운터설계되었다.Q(t)Q(t+1)플립플롭 입력QEQDQCQBQAQEQDQCQBQAJEKEJDKDJCKCJBKBJAKA00000000010x0x0x0x1x00001000100x0x0x1xx11111011111x0x0x0x01 ... 이로서 일의자리가 9가 된 후 십의 자리가 1씩 카운트 된다.32진 카운터이므로 7-segment에 31이 출력된 후 리셋 시키기 위해 십의 자리를 나타내는 두 번째 7-segment에
    리포트 | 3페이지 | 1,000원 | 등록일 2020.12.21 | 수정일 2022.09.22
  • 한글파일 디시설 - ‘1’ 개수 카운터 Leading one 카운터 설계
    결과 보고서( ‘1’ 개수 카운터 / Leading one 카운터 설계 )제목‘1’ 개수 카운터 / Leading one 카운터 설계실습 목적이 실습에서는 입력되는 이진 벡터에서 앞에 ... Leading one 카운터설계해보았다.이전 4bit 가산기나 bcd 가산기 보다는 코드를 작성하기 더 쉬웠고 원리를 이해하는데 큰 무리가 없었던 실험이었다. ... 앞에 나온 ‘1’ 개수 카운터에서는 모든 ‘1’을 카운트했지만, 여기서는 앞에 연속해서 입력되는 ‘1’의 개수만 카운트하므로 loop 문 안에서 다른 조건을 적용해야 한다.
    리포트 | 6페이지 | 1,000원 | 등록일 2019.07.20
  • 한글파일 실습 11. 카운터 설계 예비보고서
    카운터 설계11-1. 실습목적JK Flip Flop을 이용한 동기식, 비동기식 카운터설계해 보고 리셋 기능을 이용하여 임의의 진수의 카운터를 제작할 수 있는 능력을 배양한다. ... 동작함을 알 수 있다.11-3-2 8진 비동기 카운터 설계8진 비동기 카운터의 회로도를 그린다. ... 설계실습 계획서11-3-1 4진 비동기 카운터이론부의 그림 14-2의 비동기식 4진 카운터에 1MHz의 구형파 (square wave)를 인가할 때,Q1 신호의 주파수와 Q2 신호의
    리포트 | 4페이지 | 2,000원 | 등록일 2022.09.19
  • 파일확장자 A+ 결과보고서_카운터 설계
    리포트 | 4페이지 | 1,000원 | 등록일 2022.03.27
  • 한글파일 디지털 시스템 설계 및 실습 업다운 카운터 설계 verilog
    BCD카운터는 0에서 9까지 카운트 하므로 앞에서 설계한 UP-Down 카운터와 마찬가지로 10개의 상태를 정의하고, 클럭의 상승 에지에서 1씩 증가하도록 한다. ... 일상생활에서는 10진수를 주로 사용하므로, 이 실습에서는 10진수를 2진수로 표현하는 BCD 카운터설계한다. ... 실습목적동기식 카운터는 순차논리회로에서 예제로 가장 많이 사용된다.
    리포트 | 4페이지 | 1,000원 | 등록일 2021.03.24
  • 한글파일 Leading one 카운터 설계 결과보고서
    설계하였다. ... 앞에 나온 ‘1’개수만 카운터하므로 for loop를 이용하여 중간에 ‘0’이 있으면 카운트 동작을 멈추고 값을 출력하게 하였다 ... i+1; end if; count := count +1; end loop;고찰 이 실습에서는 이진벡터에서 앞에 나온 ‘1’의 개수를 카운트하는 Leading one카운터
    리포트 | 3페이지 | 1,000원 | 등록일 2021.04.16
  • 워드파일 아날로그 및 디지털회로설계실습 11 카운터 설계 과제
    카운터 설계 과제RS-Latch를 이용한 Chattering 방지 회로를 설계하고 원리를 설명하시오.위에 회로가 스위치가 on일 때 상황이고 아래 회로가 스위치가 off일 때 상황이다 ... (ORcad에 시간에 따른 스위치만 소자로 있어 저렇게 설계했습니다.)스위치가 on일 때 위의 1stage의 위의 NAND gate의 결과는 0, 아래의 NAND gate의 결과는
    리포트 | 1페이지 | 1,000원 | 등록일 2021.09.02
  • 한글파일 디지털공학실험 동기카운터설계 예비리포트
    동기 카운터 설계관련이론동기 카운터는 모든 플립플롭이 같은 클럭 펄스를 받아 그것을 기준 클럭으로 사용하여, 모든 소자가 동시에 트리거 되며 변하는 카운터 회로를 말한다.동기 카운터를 ... 설계하기 위해서는 우선 상태 다이어그램을 이용해서 진리표를 작성하여야 한다. ... 동기 카운터에서 주 시퀸스가 되는 상태들은 반드시 상태 다이어그램에 명시되어야 하며 주 시퀸스가 아닌 상태들 또한 특정 방법을 이용하여 주 시퀸스로 돌아오는 상태여야 한다.
    리포트 | 4페이지 | 1,000원 | 등록일 2022.04.19
  • 파일확장자 A+ 아날로그및디지털회로설계 실습 예보_카운터 설계
    리포트 | 4페이지 | 1,000원 | 등록일 2022.03.27
  • 워드파일 아날로그 및 디지털회로설계실습 11 카운터 설계 예비 리포트
    이번 보고서에서는 이런 카운터들을 설계하고 주파수를 알아보고 reset회로를 설계하고 연결해 16진 카운터로 10진 카운터를 만드는 등 카운터에 대해 학습했다.실험결과4진 비동기 카운터이론부의 ... 설계8진 비동기 카운터의 회로도를 그린다. ... 설계할 때는 1MHz의 구형파를 인가할 때 Q1신호와 Q2신호의 주파수를 알아보았고 8진 비동기 카운터설계할 때는 스위치를 연결하고 스위치를 누를 때 마다 카운터가 증가하고 카운터
    리포트 | 6페이지 | 1,000원 | 등록일 2021.09.02
  • 한글파일 16진 동기 및 비동기 카운터 설계
    설계 과정비동기 및 동기식 카운터의 구조와 동작원리를 이해하여 16진 동기 및 비동기 카운터설계한다.1) SN7400 및 SN7476, SN7490의 회로도를 구현한다.2) TTL ... 설계 과정 및 시뮬레이션- 16진 비동기식 Up 카운터4개의 JK 플립플롭을 이용하여 16진 비동기식 Up 카운터를 구성하였습니다. ... 동기식 카운터이므로, 위의비동기식 카운터 설계와 달리 모든 플립플롭에 클럭을 인가했다는 것 또한 확인할 수 있습니다. 이를 표로 나타내어보
    리포트 | 3페이지 | 1,500원 | 등록일 2020.10.10
  • 워드파일 10, 16진 카운터 설계 vhdl 5-6-7카운터 포함
    12진 카운터설계 해본다. ... 셈해주는 회로로서 이번 설계 실습에선 다양한 카운터설계, 실습해보게 된다. 16진 카운터는 0~15까지의 수를 반복하여 출력하게 되는데 이는 16진수에 해당한다. 16진수란 보통 ... 설명이번 카운터는 값이 5,6,7만 출력되고 다시 5-6-7-5-6-7-5-6-7-… 으로 반복되는 카운터설계 해본다.
    리포트 | 10페이지 | 1,500원 | 등록일 2020.10.05
  • 파일확장자 디지털회로설계 정리-플립플롭, 래치, 카운터, 레지스터
    4bit Serial Input Serial Output(SISO) RegisterSerial Input Serial Output / Shift Register (이동하면서 저장) / FIFO : First Input First Output (먼저 들어간 것이 먼저 나..
    시험자료 | 11페이지 | 3,000원 | 등록일 2021.12.12
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 01일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:38 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기