• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(104)
  • 리포트(88)
  • 자기소개서(11)
  • 논문(4)
  • 시험자료(1)

"atmega 128 시계" 검색결과 1-20 / 104건

  • 워드파일 마이크로 프로세서 ATmega128을 이용한 시계 구현
    기능hour1 = hour/10;//시계 초 10자리hour2 = hour%10;//시계 초 1자리min1 = min/10;//시계 분 10자리min2 = min%10;//시계 분 ... 1자리sec1 = sec/10;//시계 시 10자리sec2 = sec%10;//시계 시 1자리thour1 = thour/10;//스탑워치 시 10자리thour2 = thour%10 ... timer2_ovf_isr(void);//타이머2int cnt=0;// 기본 시간 카운트 변수int cnt1=0;//타이머 시간 카운트 변수int sec, min, hour;//기본 시계
    리포트 | 13페이지 | 3,500원 | 등록일 2020.12.25 | 수정일 2022.10.10
  • 파일확장자 Atmega128을 이용한 LCD시계 및 온도계
    LCD 시계와 온도계를 제작, 완성하는 것이다. 0시부터 12시까지 오전, 오후로 시간을 표시하도록 하고, 시계가 정상 작동하도록 한다. ... 시계와 온도계는 7 segment를 이용하여 숫자를 표시한다. 프로그램을 짜서 LCD 스크린에 시간을 표시하도록 하고, 시계의 역할을 하도록 만든다. ... , 이번에는 그 경험을 바탕으로 하여 LCD로 시계를 만들어 보았다.
    논문 | 25페이지 | 7,000원 | 등록일 2013.12.06 | 수정일 2017.04.14
  • 파일확장자 (A+) Atmega128 을 사용하여 제작한 다기능 시계(시계,알람,스톱워치,타이머) 코드 소스
    작품 설명 Atmega128을 응용하여 다기능 시계를 만들어 보았습니다. 실생활에 자주 사용되어지는 알람, 스톱워치, 타이머 기능들 을 추가하여 보았습니다. ... 저희가 사용한 Atmega128은 4버튼식으로 구성되어 있습니 다. 그래서 마지막 4번째 버튼을 mode 설정 버튼으로 구성 하는 방법을 고안 하였습니다. ... 첫 번째 기본 화면은 일반 시계입니다. 마지막 4번째 버튼 을 제외한 나머지 버튼으로 시간을 조정할 수 있습니다.
    리포트 | 24페이지 | 3,000원 | 등록일 2019.03.19 | 수정일 2019.03.25
  • 파일확장자 atmega128 segment 스톱워치 초시계
    아트메가128 세그머트 초시계입니다. 40초까지 나오며 실제 시간과 일치합니다.왼쪽 두자리수는 초, 오른쪽 두자리수는 프레임입니다.
    리포트 | 1,000원 | 등록일 2015.04.15
  • 한글파일 avr/ATmega128을 이용한 디지털시계
    이전에 설계했던 디지털 시계는 단순히 시간만 표시하였지만, 이번에 설계하는 시계는 시간 수정, 스탑 워치 등 시계에 필요한 기본적인 부가 기능을 제공함으로써 실제의 시계에 가깝도록 ... AVR KIT를 이용한 디지털 시계목차1. 설계 배경 및 목표2. ... 설계 내용과 방법- 디지털 시계의 구성- 디지털 시계의 동작- DOT MATRIX 제어- GRAPHIC LCD 및 TEXT LCD 제어- A/D CONVERTER 제어- Timer
    리포트 | 58페이지 | 2,000원 | 등록일 2012.05.07 | 수정일 2019.01.02
  • 파일확장자 ATMEGA128을 이용한 시계,타이머,야구게임
    #include #include #include #include #define RXB8 1#define TXB8
    리포트 | 7페이지 | 2,000원 | 등록일 2012.12.26
  • 파일확장자 AVR(Atmega128)을 이용한 디지털 시계
    설계 목적 및 목표❒ 설계 목적ATmega128에 입출력을 할 수 있는 간단한 시스템을 직접 설계해 보는 프로젝트 수행과정에서 시스템 설계 능력이 증진되고, 창의성, 공학적 상상력, ... 기존의 디지털 시계에 시간(AM/PM), STOP WATCH 기능을 추가 한다.2. ... 외부 switch interrupt를 이용하여 시간을 수정한다.4. 4개의 딥스위치 Dipswitch를 사용하여 시계 조작의 편리성을 갖춘다.5.
    리포트 | 31페이지 | 3,500원 | 등록일 2011.06.27
  • 한글파일 ATmega128 시계 전압계 설계 소스, 코드
    2010년도 대학교 마이크로프로세서공학프로그램 설계 최종보고서ATmega 128 설계2010년 12월 20일소속:팀원:지도교수:과제요약서작품제목ATmega128을 사용하여 시계 및 ... Voltmeter 설계대표 그림/사진요약문ATmega128을 이용하여 시계 및 Voltmeter를 설계하였다.시계를 설계할 때는 타이머 인터럽트를 사용하여 분주비를 설정하고 세그먼트에 ... 서론1.1 과제의 필요성1.1.1 과제의 목적- ATmega128을 통하여 시계 및 Voltmeter 설계를 할 수 있다.시계 : 세그먼트 숫자 표시, 분주비 설정, 오버플로우 인터럽트
    리포트 | 14페이지 | 2,000원 | 등록일 2011.12.09
  • 한글파일 AVR 마이크로 프로세서 ATmega128 을 이용하여 시계, 스탑 워치, 알람, 다운 카운터 실험 설계 프로젝트 (코드 포함)
    그리고 7447과 FND, Regulator의 데이터시트도 필요하였다.① ATMega128의 제원ATmega128 8bit risc microcontroler 16Mhz포트양방향 8bit ... 제원 및 데이터시트이번 프로젝트를 하면서 기본적으로 ATMega128의 데이터가 필요하였다. ... 실험 목적Microprocessor 실험 및 설계 과목에서 배운 내용들을 활용하여 AVR Processor 기반 Microcontroller Unit인 ATmega128 라이트 모듈로
    리포트 | 29페이지 | 5,000원 | 등록일 2017.01.11
  • 파일확장자 <<AVR 알람시계 만들기>>AVR알람시계,디지털워치,와치,ATmega128,회로도,소스코드,동작원리,타이머,1초,시간설정,AVR디지털시계,전자시계,부저,디지탈시계,디지털시계 제작
    ATmega128 MCU에 내장된 EEPROM은 4 KB의 데이터 사이즈를 가지고 있다. 메모리 번지는 0x0000 ~ 0x0FFF (4096개) 로 할당되어 있다. ... ['[AVR 알람시계 만들기] + 회로도, 소스코드, 동작원리' 본문 내용 中 발췌]Ⅰ. 목 표보고서에서는 AVR을 이용한 디지털 알람시계를 만드는 법에 대하여 알아보도록 한다. ... 본 디지털 시계에서는 아래와 같이 총 5 byte의 데이터를 저장하고 불러온다.
    리포트 | 29페이지 | 7,000원 | 등록일 2015.12.04 | 수정일 2017.04.20
  • 파일확장자 AVR ATMEGA128을 이용한 디지털 시계 설계
    이전에 설계했던 디지털 시계는 단순히 시간만 표시하였지만, 이번에 설계하는 시계는 시간 수정, 스탑 워치 등 시계에 필요한 기본적인 부가 기능을 제공함으로써 실제의 시계에 가깝도록 ... 총 4개의 SWITCH를 사용하여 시계 조작의 편리성을 갖춘다. 2. 설계 내용과 방법1.1. ... 기존의 디지털 시계에 년, 월, 일(요일), 시간(AM/PM), STOP WATCH 기능을 추가 한다.2.
    리포트 | 58페이지 | 5,000원 | 등록일 2009.02.09
  • 파일확장자 <<AVR을 이용한 컴퓨터 사용시간 타이머 만들기>>AVR타이머,전자시계,카운터, AVR졸업작품,ATmega128,회로도,AVR작품,아트메가128,작품 만들기,디지털 시계,시간측정
    ['[AVR을 이용한 컴퓨터 사용시간 타이머 만들기] + 회로도, 소스코드, 동작원리' 본문 내용 中 발췌]Ⅰ. 목 표 본 작품은 사용자가 컴퓨터를 사용한 시간을 측정하고 표시하는 타이머다. 컴퓨터에 전원이 들어올 때부터 타이머의 시간이 00:00:00(시:분:초)..
    리포트 | 18페이지 | 4,000원 | 등록일 2016.03.02 | 수정일 2017.04.20
  • 파일확장자 ATmega128을 이용한 절전형 디지털 시계
    회로도 및 소스코드실장된 회로 설명 및 소스코드에 주석 삽입밥 한끼만 굶고, 작품 제출해서 A+ 받으세요
    리포트 | 14페이지 | 8,000원 | 등록일 2007.12.06 | 수정일 2020.02.11
  • 파일확장자 <<AVR 스탑워치 만들기>>AVR스탑워치,초시계,디지털초시계,atmega128,회로도,소스코드,동작원리,스톱워치,타이머카운터,세그먼트,segment,타이머
    목 표 AVR ATmega128을 이용하여 스탑워치(Stop Watch)를 구현해본다. ... · Program tool : AVR Studio, Atmel studio · Compiler : AVR-GCC · Language : C language · AVR MCU : ATmega128
    리포트 | 11페이지 | 4,000원 | 등록일 2015.10.25 | 수정일 2017.04.20
  • 워드파일 [졸업작품]ATmega128을 이용한 LCD 시계 및 온도계
    LCD 시계와 온도계를 제작, 완성하는 것이다. 0시부터 12시까지 오전, 오후로 시간을 표시하도록 하고, 시계가 정상 작동하도록 한다. ... , 이번에는 그 경험을 바탕으로 하여 LCD로 시계를 만들어 보았다. ... 또한 시계뿐만이 아니라, 온도계도 만들어 시간과 온도를 동시에 표시하도록 하였다.
    리포트 | 23페이지 | 3,000원 | 등록일 2007.02.11
  • 한글파일 [마이크로프로세서] atmega 128을 이용한 설정 가능한 시계, 밝기조절, 온도표시 설계(도트매트릭스 하드웨어설계, 소프트웨어 코드 포함)
    Level up.□ 참고자료○ Data sheet : ATmega128, PCF8563○ 강의 자료○ 인터넷검색□ Firmware source code#include #include ... .□ 설계 사양○ 상온 25℃에서 5인 thermistor 사용○ CdS는 보통 수에서 손으로 가리면 60이상 올라가는 소자 사용○ 8 by 8 LED dot matrix 사용○ ATmega128 ... 분석6후기6참고자료6Firmware source code7∼16Clock & Temperature sensing system- 16□ 설계 목적○ Real time clock 과 PCF8563을 이용하여 시계
    리포트 | 18페이지 | 5,000원 | 등록일 2011.03.29
  • 한글파일 마이크로프로세서+6주차+예비보고서 타이머와 카운터
    실험목적ATmega128 마이크로컨트롤러의 타이머/카운터 기능을 이용하여 디지털 시계를 구현하는 방법을 알아보며 이의 발생 원리 이해하고 제어 방법을 습득한다.2. 사전지식1. ... 예를 들어서 우리가 사용하는 ATmega128은 7372800Hz로 매우 빠른 속도이다. ... 된다.이러한 클럭의 ONE CLOCK CYCLE의 횟수를 카운트하는 레지스터가 ATMega128 내부에 존재한다.
    리포트 | 8페이지 | 2,000원 | 등록일 2020.01.02 | 수정일 2020.11.12
  • 한글파일 실험4 Digital Clock 프로젝트 보고서
    실험 목적Microprocessor 실험 및 설계 과목에서 배운 내용들을 활용하여 AVR Processor 기반 Microcontroller Unit인 ATMEGA 128 라이트 모듈로 ... 주의 사항- 문제점 및 해결방안은 첨부파일로 유첨함.- ATmega128 의 전원공급을 ISP loade;unsigned char stop_ms=0, stop_s=0, stop_m= ... 기능총 4가지 Mode가 존재하며, Button 1을 이용하여 Mode를 변경할 수 있다.Mode 0 : Digital Clock가장 기본적인 기능으로써 Timer를 이용한 디지털 시계이다.Mode
    리포트 | 25페이지 | 5,000원 | 등록일 2020.01.02 | 수정일 2021.12.01
  • 파일확장자 홍익대학교 실험4 마이크로프로세서 실험 프로젝트
    실험 목적-Microprocessor 실험 및 설계 과목에서 배운 내용들을 활용하여 AVR Processor 기반Microcontroller Unit인 ATMEGA 128 라이트 모듈로 ... 고찰카운터와 인터럽트를 사용하여 디지털 시계를 만들고 스톱워치, 알람, 카운트 다운의 기능이 구현되었다. ... 타이머를 normal mode동작으로 하고 적절히 분주를 설정하고 tcnt값을 주면 시간에 맞게 초가 증가하는 시계가 된다.
    리포트 | 9페이지 | 6,000원 | 등록일 2020.01.06
  • 한글파일 마이크로프로세서+6주차+결과보고서-실습8 Timer를 이용한 디지털 시계
    실습 내용ATmega128 마이크로컨트롤러를 이용한 타이머를 구현하여 디지털 시계의 기능을 설계해본다. ... 편의상 시계는 초과 분까지만 표시한다.2. 실습8 이론 설명 요약● 상수를 지정하고 싶을 때 enum이라는 타입을 이용해서 지정한다. ... 12019' 마이크로프로세서 실험 및 설계2019년 마이크로프로세서 실험 및 설계6주차 실험보고서실습8 : Timer를 이용한 디지털 시계 < 결과 보고서>11.
    리포트 | 9페이지 | 2,000원 | 등록일 2020.01.02 | 수정일 2020.11.12
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 03일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:21 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기