• 유니스터디 이벤트
  • 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

(vhdl소스)and or not latch mux 플립플롭 인코더

*진*
최초 등록일
2008.11.23
최종 저작일
2007.06
16페이지/ 한컴오피스
가격 2,000원 할인쿠폰받기
다운로드
장바구니

소개글

(vhdl소스)and or not latch mux F/F 인코더에 대한 vhdl소스와 테스트 벤치한 결과값입니다.

목차

-vhdl소스,테스트벤치 결과값-
and
or
not
latch
mux
F/F
인코더

본문내용

-AND Logic
1.VHDL코드
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity and_ent is
Port ( input1 : in STD_LOGIC;
input2 : in STD_LOGIC;
output : out STD_LOGIC);
end and_ent;

architecture Behavioral of and_ent is
begin
process(input1,input2)
begin
if((input1=`1`)and(input2=`1`))then
output<=`1`;
else
output<=`0`;
end if;
end process;
end Behavioral;

2.Testbench코드
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_unsigned.all;
USE ieee.numeric_std.ALL;

ENTITY tb_and_ent_vhd IS
END tb_and_ent_vhd;

ARCHITECTURE behavior OF tb_and_ent_vhd IS

-- Component Declaration for the Unit Under Test (UUT)
COMPONENT and_ent
PORT(
input1 : IN std_logic;
input2 : IN std_logic;
output : OUT std_logic
);
END COMPONENT;

--Inputs
SIGNAL input1 : std_logic := `0`;
SIGNAL input2 : std_logic := `0`;

--Outputs
SIGNAL output : std_logic;

참고 자료

없음
*진*
판매자 유형Bronze개인

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

최근 본 자료더보기
탑툰 이벤트
(vhdl소스)and or not latch mux 플립플롭 인코더
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:48 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대