• 통큰쿠폰이벤트-통합
  • 통합검색(749)
  • 리포트(720)
  • 시험자료(16)
  • 자기소개서(5)
  • 방송통신대(5)
  • 논문(2)
  • ppt테마(1)

"가산기레포트" 검색결과 181-200 / 749건

  • 쉽게 따라하는 재무회계 과제 B형 & 진행평가 & 최종평가 정답
    결론적으로 재무활동으로 인한 현금흐름은 영업 및 투자활동으로 인한 현금흐름의 크기에 따라 달라지는 특징이 있다. ... [회계ⓔ코칭] 쉽게 따라하는 재무회계’과제 (B유형)< 리포트 주제 >자본변동표의 의미를 작성하고, 자기자본이 증가하는 사유와 감소하는 사유에 대해 설명하시오.그리고 현금흐름표의 유용성과 ... 현금의 유출이 없는 비용 등의 가산항목 산정수익항목과 각 비용항목에 대하여 개별적으로 분석하여 개별항목별 현금의 유입 및 유출을 통해 산정영업활동으로 만큼의 현금이 확보되어 있는 지를
    시험자료 | 12페이지 | 3,000원 | 등록일 2021.01.14
  • 울산대 결과전자 23장.선형 연산 증폭기 회로
    결과 Report(전자 23장)실험. 23장 : 선형 연산 증폭기 회로5. ... 실험 결과1) 반전 증폭기2) 비 반전 증폭기3) 단위 이득 플로워4) 가산 증폭기저항20K OMEGA 변경 저항100K OMEGA 변경 시(계산치){V _{o}} over {V _ ... 특성을 알아보고, 각 연산(반전, 비반전, 가산, 단위)에 대해 증폭기의 사용과 그 결과를 알아보았다.
    리포트 | 2페이지 | 1,000원 | 등록일 2015.10.30
  • 시립대 전전설2 [4주차 예비] 레포트
    전자전기컴퓨터설계실험 ⅡPre-report4주차: Combinational Logic을 설계 및 실험1. Introduction (실험에 대한 소개)가. ... 표현하는 경우, 가산기를 가감산기로 이용한다.전가산기전가산기는 반가산기 2개와 논리합 1개로 이루어진것으로 덧셈을 수행할때 하위자리에서 발생한 올림수까지 포함하여 계산하는 것이다. ... 비트 반가산기를 Behavioral Level modeling으로 설계를 하는 방법을 익히고 1비트 전가산기와 반가산기를 always와 if문을 사용하여 설계를 하는 방법을 익힌다.
    리포트 | 8페이지 | 2,000원 | 등록일 2019.07.29
  • 2012 gs건설 합격자소서
    여러 논문을 보고 세미나를 들으면서 준비하였고 덕분에 가산점까지 받을 수 있었습니다.앞으로 저의 이러한 성실함과 전공지식을 바탕으로 플랜트 기자재를 이해하고, 엔지니어링 산업 전반과 ... 동아리/학회/리더경험"솔선수범 리더"일반화학 및 실험 강의를 수강하면서 조원들과 함께 매주 예비 report와 결과 report를 준비해야 했습니다. ... 플랜트 구매 직무는 기자재를 글로벌 소싱을 통해 매입하고, 기자재의 특징 등에 대한 자료를 수집하고, 철저히 파악하여, 기자재를 경제적인 가격으로 고품질의 기자재를 적기에 납품하는
    자기소개서 | 4페이지 | 5,000원 | 등록일 2019.07.01
  • 시립대 전전설2 [4주차 결과] 레포트
    전자전기컴퓨터설계실험 ⅡPost-report4주차: Combinational Logic을 설계 및 실험1. Introduction (실험에 대한 소개)가. ... 표현하는 경우, 가산기를 가감산기로 이용한다.전가산기전가산기는 반가산기 2개와 논리합 1개로 이루어진것으로 덧셈을 수행할때 하위자리에서 발생한 올림수까지 포함하여 계산하는 것이다. ... 장비에서 동작 검증하시오.전가산기XYCinSCout00000전가산기도 반가산기와 거의 비슷한 원리로 작동을 한다.
    리포트 | 12페이지 | 2,000원 | 등록일 2019.07.29
  • 연산증폭기 미분기 실험 결과레포트
    연산증폭기 미분기 실험1. ... 그리고 신호발생기를 이용하여 입력전압의 주파수를 절점주파수f _{c} 근처에서 변화시킴에 따라 미분기 또는 반전증폭기로 동작이 변환되는지를 관찰하여라.④ 입력전압을 신호발생기를 이용하여V ... 이론값과 실험값의 일치 여부(오차율)13%표 13-1미분기 회로는 반전 증폭기 회로에서 입력단자에 저항을 커패시터로 변경한 회로이다.
    리포트 | 6페이지 | 2,000원 | 등록일 2019.05.30
  • OP-AMP의 작동원리 이해
    OP-AMP의 작동원리 이해2018 계측실험 결과레포트 11제 출 일:2018.12.12담당교수:학 과:기계공학부학 년:학 번:이 름:1. ... 가산 연산 증폭기4. 실험 방법(1) 반전 증폭기(a) 그림 7의 회로에`R _{F}=`R _{R} =`1k OMEGA 을 연결한다. 2개의 전원공급기를 13V에 맞춘다. ... 두 번째 실험은 반전가산기로서의 연산증폭기의 동작원리를 알아보는 실험이었다. 오차율은 40%에 가까운 값으로 매우 컸다.두번째 실험에서 발생한 오차는 특히나 컸다.
    리포트 | 5페이지 | 1,000원 | 등록일 2019.07.15
  • 전자전기컴퓨터설계실험1(전전설1) (7) 연산 증폭기의 응용
    연산 증폭기의 응용post-lab report연산 증폭기의 응용post-lab report과목명전자전기컴퓨터설계실험1담당 교수전공 학부전자전기컴퓨터공학부학번성명제출 일자목 차Ⅰ. ... 반전 가산기R1 = R2 = R3 = RF = 40㏀인 경우, 반전 가산기의 출력전압 Vo는V _{o} =-( {40k} over {40k} TIMES 1+ {40k} over {40k ... 적분기 (11)2.5. 전압 팔로워 (12)2.6. 반전 가산기 (12)3. 실험 결과 (13)3.1. 반전 증폭기 (13)3.2. 비반전 증폭기 (14)3.3.
    리포트 | 22페이지 | 2,000원 | 등록일 2019.09.09 | 수정일 2021.04.29
  • 아주대학교 논리회로실험 실험3 예비보고서
    professional development and to support them in following this code of ethics.위 IEEE 윤리헌장 정신에 입각하여 report를 ... 가산기의 종류로는자리올림수를 고려하는 가산기인 반가산기, 자리올림수(carry)를 고려한 가산기를 전가산기라고 함. ... 실험 방법 및 결과 예상1) 반가산기 : 반가산기 회로를 구성하고 모든 입력 조합에 대해 올바른 결과를 확인한다.2) 전가산기 : 반가산기 2개를 사용하여 전가산기 회로를 구성하고
    리포트 | 5페이지 | 1,500원 | 등록일 2019.02.20
  • [기초전자회로실험1] "Verilog HDL을 이용한 로직게이트 설계 및 FPGA를 통한 검증 (NAND2, NOR2, XOR2)" 결과보고서
    가산기 등의 기본 회로부터 ... 구성하더라도 0,1의 입력을 테스트 벤치를 작성할 때 시간에 따라 여러 입력값을 설정하고 미리 작성해놓은 게이트를 이용한다면 손쉽게 회로를 구현할 수 있을 것 같다는 생각을 했고 실제로 전가산기와 ... 1Result report Electronic Engineering기초전자회로실험1Verilog HDL을 이용한 로직게이트 설계 및 FPGA를 통한 검증 (NAND2, NOR2, XOR2
    리포트 | 3페이지 | 1,500원 | 등록일 2019.03.18 | 수정일 2019.03.29
  • [기초전자회로실험1] "Verilog HDL을 이용한 Full Adder와 Half Adder의 설계 및 FPGA를 통한 검증" 예비보고서
    크다 (>), 작다 (=), 작거나 같다 (>), 왼쪽 shift ( end moduleABCS0*************10● 반가산기반가산기(half adder)는 이진수의 한자리수를 ... 하나의 전가산기는 두개의 반가산기와 하나의 OR로 구성된다.● 4bit adderC _{0}에 0이 들어가면 이진수의 네 자릿수를 연산하고, 하위의 자리올림수 입력을 포함하여 출력한다 ... 연산하고, 자리올림수는 자리올림수 출력(carry out)에 따라 출력한다.ABXCS0000000101010010111010001101101101011111● 전가산기전가산기(full
    리포트 | 6페이지 | 1,000원 | 등록일 2019.03.19 | 수정일 2019.04.01
  • 서울시립대학교 통신공학실습 4주차 예비레포트
    통신공학실습Preliminary Report4주차 : 믹서 회로 설계 및 제작Ⅰ1. Introduction (실험에 대한 소개)가. ... AWGN모드중 Signal to noise ratio (SNR) 신호 에너지의 비율에 대한 파라미터를 정하고 Variance from Mask or Port의 모드를 통해서 분산의 크기를 ... 백색 가우시안 잡음)가산적/부가적 (Additive) 의미열잡음이 신호 위에 곱하기 연산 과정 없이 단지 더해지는 형태를 취하기 때문모든 통신 채널에 항상 가산적으로 부가됨대부분의
    리포트 | 5페이지 | 2,000원 | 등록일 2019.07.29 | 수정일 2019.08.10
  • 시립대 전전설2 [2주차 예비] 레포트
    그러나 이 AND, OR, NOT 등을 사용한 간단한 회로에서는 더욱 하위에서의 자리올림을 처리하는 것이 불가능하므로 가산 회로로서는 불완전하여 이와 같은 회로를 반가산기라고 한다.전가산기전가산기는 ... 반가산기와 더불어 컴퓨터 구조에 있어서 가장 중요한 요소 중 하나이다. ... 반가산기와 다른 점이라면 여기서는 올림수가 있기 떄문에 더욱 복잡한 출력값을 가질 수 있다는 점이다.
    리포트 | 8페이지 | 2,000원 | 등록일 2019.07.29
  • 아주대학교 논리회로실험 실험3 가산김.감산기 결과보고서
    고찰이번 실험은 가산기(adder)와 감산기(subtractor)를 직접 구성하여 보고 가산기와 감산기의 기본 구조 및 동작 원리를 이해하는 실험이었다. ... 실험은 반가산기의 회로를 미리 설계하였다. ... 험2에서는 전가산기를 구성하였는데 전가산기는 이진수의 한 자릿수를 연산하고, 하위의 자리올림수 입력을 포함하여 출력함을 알 수 있었다.
    리포트 | 6페이지 | 1,500원 | 등록일 2019.02.20
  • 가산기, 전가산기, 4비트 전가산기, 전가감산기 설계 (자일링스)
    c1=0 이 출력되는 시뮬레이션 화면이다.◆ 결과분석 및 논의Please, write a final report on:* what did you learn from this project ... 그리고 전가산기를 응용한 4비트 가산기와 가감산기가 있었다. ... 반가산기는 2개의 입력으로 2개의 출력을 내보내는 회로이고, 전가산기는 3개의 입력과 2개의 출력을 내보내는 회로이다. 4비트 가산기와 가감산기는 전가산기 4개를 묶은회로 형태로 4비트
    리포트 | 16페이지 | 2,000원 | 등록일 2011.12.10
  • [예비레포트] 아날로그 및 디지털 기초 회로 응용 (아두이노)
    예비레포트 아날로그 및 디지털 기초 회로 응용1. ... 이러한 과정을 거쳐 두 개의 4비트 데이터인 A와B를 더하여 올림수C3와 합S가 계산된다.올림수 없이 단지 두수 만을 더하는 가산기를 반가산기, 올림수와 두수를 함꼐 더하는 가산기를 ... 전가산기라고 한다.반가산기입력 두 비트를 더하는 경우에 발생하는 출력은 합S와 올림수이며, 그 진리표는 다음과 같다.전가산기입력 두비트와 낮은 자리수에서 올라온 올림수를 더하는 경우에
    리포트 | 9페이지 | 1,000원 | 등록일 2019.06.02
  • 전전설 레포트, TTL gates Lab on Breadboard
    이 회로를 2개 사용하면 2진 가산기의 한 자리분을 구성할 수 있기 때문에 반가산기라 한다. ... 회로이다.(1) 반가산기 논리표ABSC*************101(2) 반가산기 실습 회로4) TTL gate의 datasheet- 참고문헌 참고3. ... - 두 개 이상의 수를 입력하여 이들의 합을 출력하는 가산기 논리 회로와 달리 반가산기 논리 회로는두 개의 입력 비트(A, B)를 더하여 합(S)과 자리 올림 수(C)를 산출하는 논리
    리포트 | 12페이지 | 1,000원 | 등록일 2018.11.25
  • 시립대 전전설2 [1주차 예비] 레포트
    가산기 시험에서는 두 개의 TTL소자를 7486의 인풋선에 TTL 7408을 병렬연결을 해야 한다. 그 이유는 반가산기의 자리 올림 수를 계산하는 AND를 위해서이다.4. ... XOR 게이트의 입력 부근에 AND 게이트를 병렬로 연결하면 반가산기의 회로를 얻을 수 있다. ... 반가산기의 자리 올림 수 1의 값은 A,B의 값이 1일 경우에는 올림이 되어 C가 1의 값으로 출력된다.
    리포트 | 8페이지 | 2,000원 | 등록일 2019.07.29
  • 가산기와 감산기
    가산기와 감산기, 4비트 2진 비교기 실험● 실험 목적- 반가산기, 반감산기, 전가산기, 전감산기 회로를 만들어 보고, 정상 동작 하는지 실험을 통해 알아보고, 논리식으로 검토해 본다 ... 구하는 논리회로이다.② 반감산기 설계- 각각 2개의 입력 단자와 출력 단자를 가지는 회로로써, 두 개의 비트를 빼서 Difference와Borrow를 구하는 회로이다.③ 전가산기 ... .- 4비트 2진 비교기를 만들어 진리표를 만들고, 출력 상태를 기록한다.① 반가산기 설계- 각각 2개의 입력 단자와 출력단자를 가지는 회로로써, 두 개의 비트를 더하여 Sum과Carry를
    리포트 | 7페이지 | 1,000원 | 등록일 2017.06.07
  • 가산기 및 전가산기 예비보고서
    실험제목: 반가산기 및 전가산기(예비보고서)- 목 차 -1. 예비조사 및 실험 내용의 이해1.1 가산기(Adding Machine)란? ... 1.1.1 반가산기(half-adder ; H.A)1.1.2 전가산기(full-adder ; F.A)1.2 가산기의 특징 (논리기호)1.2.1 반가산기(half-adder ; H.A ... )1.2.2 전가산기(full-adder ; F.A)1.3 가산기의 동작원리 (진리표)1.3.1 반가산기(half-adder ; H.A)1.3.2 전가산기(full-adder ; F.A
    리포트 | 5페이지 | 1,000원 | 등록일 2009.05.03
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:46 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대