• 통큰쿠폰이벤트-통합
  • 통합검색(251)
  • 리포트(227)
  • 시험자료(10)
  • 자기소개서(9)
  • 방송통신대(3)
  • 논문(2)

"디지털시계 소스" 검색결과 181-200 / 251건

  • IC회로에 대하여..
    IC의 단자는 핀이라 부르며 IC의 패키지를 위에서 보아 중앙의 홈 밑에 점으로 표시한 좌측 아래의 1번 핀부터 반시계 방향으로 돌면서 번호가 붙어 있다. ... IC 기술 진보의 중요한 결과 중 하나는 디지털 회로가 전자 시스템 또는 부 시스템으로서 사용 가능해졌다는 것이다. ... 이 전류 Ioh를 소스 전류라 한다. LS-TTL에서는 Ioh?0.4(mA)이며 큰 전류는 흘러 나갈 수 없다.
    리포트 | 5페이지 | 1,000원 | 등록일 2009.11.30
  • vhdl을 이용한 디지털 시계.(연습문제 풀이. Digital systems : principles and applications)
    VHDL을 이용한 디지털시계 설계/연습문제풀이CHR3조장 :32042085박 제 우32001756임 정 근32032130이 윤 재32032164정 우 현목 차■목 차-2-■프 로 젝 ... 트 제 목 / 목 표-3-■설계 방향/필요성-3-■프로젝트진행계획-4-■VHDL코드/시뮬레이션-5-■연습문제 풀이-10-■결과 및 고찰-13-■ 제 목 : VHDL을 이용한 디지털시계 ... 제작.■ 목 표● VHDL을 이용 동기식 카운터를 이용한 디지털 시계를 설계.● VHDL의 코드를 이해하고 다루는 법을 익힌다.■ 설계 방향.VHDL을 이용한 설계로 시, 분, 초를
    리포트 | 13페이지 | 2,500원 | 등록일 2008.02.20
  • 5장 오실로스코프와 Function Generator실습
    .※ 참 고 ( 소스 이용 )다) 트리거링 ( Triggering)트리거링이라고 하는 것은 화면상에 안정된 파형 즉, 고정된 파형을 볼 수 있도록 하기 위한 작업이다.만약 위와 같은 ... horizontal position을 이동 시킴으로써 확대가 가능하다.- Delay sweep frequeny② 저장의 방법- Storage scope- Special camera- Digital ... 스위치10 mV수직 POSITION 조절기누른 상태에서 중앙에 위치VARIABLE 조절기누른 상태에서 완전히 시계방향으로V.
    리포트 | 19페이지 | 1,000원 | 등록일 2010.11.12
  • ATmega8을 이용한 시계 및 온도계
    ATmega8을 이용한디지털 시계 및 온도계- 목 차 -1. 작품 설명 ---------------------------- p32. ... 작품 설명(1) Summary ATmega-8을 사용한 디지털 시계 및 온도계 - display : LCD - LM35DZ 온도 센서를 이용 - 버턴을 이용해 시계의 시간, ... 프로그램 소스 전체 --------------------- p75. 완성된 작품 -------------------------- p191.
    리포트 | 15페이지 | 10,000원 | 등록일 2007.07.05
  • World is Flat-세계는 평평하다 서평(독후감, 응용레폿)
    이 문제는 컴퓨터가 만들어질 때 시계가 내장되기 때문에 생깁니다. ... 이 때문에 기존 컴퓨터에 내장된 시계를 조정할 필요가 있었습니다. ... 후에 IBM과 아파치 그룹과 거래는 오픈소스 커뮤니티의 새로운 분수령이었습니다.
    리포트 | 12페이지 | 2,000원 | 등록일 2008.11.18
  • [디스플레이 시스템 실험] LCD 모니터 시스템의 광학적 특성
    이 감마도 개인에 따른 취향이 다르겠지만 개인간의 차이보다는 보고자 하는 소스(이미지나 동영상 등)에 따라 달리해 주는 것도 효과적인 활용방법이라 하겠다.1.2 디스플레이의 Grayscale ... 앞서 모니터를 30분 이상 충분히 켜두어 Staturation 된 상태로 만들어준다.)1) CA-210 기기를 Calibration하고 측정 동기모드를 NTSC로 설정한다.2) Digital ... Power Consumption 측정PBM-170DHCA-210, Probe와이어스트리퍼, DMM 2개, 절연테이프, 초시계2.2 실험B.
    리포트 | 41페이지 | 5,000원 | 등록일 2011.08.10
  • 89C51을 이용한 시계 만들기
    : #4-1 디지털 시계만들기과 목 :학 과 :학 번 :이 름 :제 출 일 :담당교수 :■ 과제 : 간단한 시계를 구현한 프로그램 lab8_1.c는 분 초 단위만을 표시하고 있다. ... ▼프로그램 소스#include #include #include #define F_PERIOD50#define CLOCK_HZ11059200#defineHOUR_POS3#define
    리포트 | 4페이지 | 1,000원 | 등록일 2008.05.31
  • AVR 디지틀 시계(C사용)
    LCD를 이용한 8515 디지틀 시계.1. 전체 구성초기화 -> 시간출력 -> 시간처리 -> 달력처리2. ... 시간의 오차는 약 1시간에 10초 정도이다.전체적으로 이번 AVR 디지털 시계는 지난 8051로 만들던 때보다 간단히 구현 하였다. ... 디지틀 시계 설명1) AM/PM의 12시간 형식으로 구성2) (AM/PM) : 시시 : 분분 : 초초3) ‘:’는 시간의 흐름에 따라 점멸함4) Key 1번 스위치를 누르면 시간이
    리포트 | 10페이지 | 1,000원 | 등록일 2008.07.07
  • ADC 사용 및 그 응용
    최대값은 시계반대 방향일 때, FFF. 최소값은 시계방향으로 돌렸을 때, 000가 출력된다.위 표에서 확인하면 1.5V가 80x로 중간 값 정도를 가르키는 것을 알 수 있다. ... Gene.의 출력 단자를 연결해, 신호를 인가한다.소스 코드는 Practice 2와 동일하게 사용하여도 된다. ... Practice 3의 입력을 DAC를 통해 재 출력하기Additional exerciseResult & DiscussionIntroduce>> 예제 4번 프로그램을 수정하여 Analog to Digital
    리포트 | 6페이지 | 3,000원 | 등록일 2010.06.02
  • 정보체계론 요점정리 서브노트
    아날로그형과 디지털형이 있으나 1960년 이후로는 거의 디지털형만이 이용된다.자료와 지식의 차이점資料를 가공하여 체계화시킨 것이 知識.자료 자체만을 가지고는 의사결정이나 생산에 기여할 ... 시계형 시스템: 시계와 태양계 : 움직임을 갖는다.3. 제어기구 : 냉난방 장치인 써모스타트 : 환경과의 교류4. 개방시스템 : 세포 : 스스로의 목표설정.변화5. ... 새로운 작업을 하는데 필요한 기술적 부분: 언어, 보조기억장치의 규모, 시간.사용자 단말장치 > 자기디스크 A > 처리과정 > 자기디스크 B3.2 언어 컴파일러 (compiler)소스
    리포트 | 29페이지 | 2,000원 | 등록일 2009.09.29
  • 시간을 달리는 소녀 1983(영화)와 2006(애니메이션) 비교 감상
    소재를 이용해 남녀 간의 애정면을 부각 시킨 듯 한 느낌이 들 정도로 여주인공이 ‘타임리프’를 했다는 것이 중점적이기 보다는 미래에서 온 남자인 후카마치 와의 연애 감정을 위한 소스 ... 타임리프’라는 소재가 사용 되었고 처음 등장 화면도 여주인공 요시야마가 후카마치를 처음 만나게 되는 장면부터 시작하는 반면, 2006년 애니메이션 버전에서는 처음 오프닝 화면부터 디지털 ... 시계를 형상화 하는 숫자의 움직임으로 시작하여 애니메이션 곳곳에 시간의 존재를 암시하는 듯 한 아이템들이 곳곳에 숨어있음은 물론 주인공 남녀의 애정면 보다는 여주인공이 시간을 되돌리게
    리포트 | 6페이지 | 1,000원 | 등록일 2010.05.26
  • 디코더와 멀티플렉서
    ◆ 이진 부호로 표현되는 디지털 정보는 n 비트로 2n가지의 서로 다른 정보를 표현할 수 있다. ... 세그먼트당 10~40㎃■ 액정 표시기(LCD, Liquid Crystal Dispaly)- 주변 빛이나 back-lit에 의하여 빛의 반사- 저전력 소모 응용 : 계산기, PDA, 시계 ... 0이 될 때 A1과 A0에 의해 4개의 AND 게이트의 출력이 디코드■ 멀티플렉서◆ 멀티플렉서는 2n개의 입력 신호 중 1개를 n 비트의 선택단자로 결정하여 출력에 연결해 주는 디지털
    리포트 | 15페이지 | 1,000원 | 등록일 2008.05.07
  • 디바이스 드라이버
    컴파일은 일반 PC환경에서 크로스 컴파일러를 이용하여 컴파일을 행하고, 실행은 PDA에서 행하면 됩니다.1.역할크로스 컴파일러는 C 소스를 개발하고자 하는 CPU에 맞게 컴파일해서 ... UART 장치는 콘솔(CONSOLE)의 기능 그리고 프로그램 코드의 다운로드 하는 인터페이스 장치로서도 사용되며, TIMER 장치는 향후 상주되어 동작 할 커널의 시계로서 사용 된다 ... TDO)④ 회로의 배선과 소자의 전기적 연결상태 test⑤ 디바이스간의 연결상태 test⑥ Flash memory fusing◆ 임베디드 시스템의 예PDA, 스마트폰, 홈 네트워크, 디지털TV
    리포트 | 4페이지 | 1,000원 | 등록일 2010.11.26
  • 기즈모(Gizmo)와 프로토타입(Prototype)
    가령, 스마트하게 만들어진 디지털 시계는 가제트라고 부를 수 있지만, 태엽 장치 (구동장치) 가 들어있는 아날로그 시계는 기즈모라고 부른다. ... 위해서, Map Co와 같은 의미라고 봐야 합니다.참고로, 3D의 진수는 바로 매핑에 있으므로, Modify menu에 있는 맵 좌표계를 모두 숙지하면서, Photoshop 등에서 맵소스
    리포트 | 6페이지 | 2,000원 | 등록일 2010.09.17
  • 기계공학기초실험
    전원이 켜져 있지 않은 상태에서 각 채널의 전압과 전류 노브를 반시계 방향으로 돌려 놓는다.1. .2. ... .○ 하드웨어 보상 : 다양한 전압 소스는 회로에 삽입되어 남아있는 열전기 전압을 제거한다. ... 가변 전압 소스는 내부 온도에 따라 보상 전압을 생성하므로, 원치 않는 열전기 신호를 없애기 위해 정확한 전압을 추가한다.
    리포트 | 67페이지 | 6,000원 | 등록일 2011.03.16
  • [디지털논리회로]Digital clock design
    마지막 4차 과제를 통하여 3학년 때, 시계를 많을 때도 많은 도움이 될 것이라는 확신과 생각을 가지게 되었습니다. ... )제 목Digital clock design1. ... 결론한 학기동안 디지털 논리 회로를 배워나가면서 힘든 점도 많았지만 많은 것을 배웠고 보람도 있었습니다.
    리포트 | 10페이지 | 1,500원 | 등록일 2006.06.21
  • 모터의 속도제어 각도제어 예비레포트
    디지털회로에서 입력파형으로 요구되는 TTL 및 CMOS 논리 신호도 제공되므로 디지털회로 분석에도 용이하다.함수발생기는 금성사에서 제작된 다양한 신호소스를 제공하는 신호발생기이다. ... 동기모터는 동기전동기라 부르며, 그 속도가 전원주파수에 완전하게 대응하므로 유도모터에서와 같은 슬립이 없다는 것이 특징이고 시계나 타임 스위치등에 많이 사용되고 있다.? ... 접합형 FET에 흐르는 전류- 전압을 가하는 방법과 흐르는 전류※ 접합형 FET 특성IO:드레인 전류VDS:드레인-소스 사이의 전압VGS:게이트-소스 사이의 전압?
    리포트 | 14페이지 | 2,500원 | 등록일 2008.11.12
  • 연구개발의 글로벌화와 신제품 개발 (사례기업 - 닌텐도)
    1980: 휴대용 액정 게임에 디지털 시계가 융합된 ‘게임 & 워치’를 개발, 판매 개시, 미국 뉴욕 주에 현지법인 Nintendo of America Inc. ... 닌텐도에서 만든 ‘포켓몬스터’는 원소스-멀티유즈의 대표적인 예로서 게임, 애니메이션, 영화, 문구,의류 등 여러방면으로 활용하여 국제화 시켰다.? ... 닌텐도는 1994년 소니의 플레이스테이션(Playstation)이 등장하면서 잠시 위기를 맞이했으나, 포켓몬(Pokemon)이라는 원소스-멀티유즈 상품을 통해 새로운 수익원을 확보하고
    리포트 | 13페이지 | 2,000원 | 등록일 2010.10.14
  • [디지털시계]VHDL을 이용한 디지털 시계
    **디지털 시계와 stopwatch 소스 **library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL ... 0);led : out std_logic_vector(7 downto 0));end digital_watch;architecture Behavioral of digital_watch ... ;entity digital_watch isPort ( clk : in std_logic;reset : in std_logic;push1 : in std_logic;push2 : in
    리포트 | 13페이지 | 2,500원 | 등록일 2008.06.14
  • 디즈니(Disney)사의 해외진출
    1928년 세계 최초의 토키(발성용)만화 영화인‘증기선 월리 (Streamboat Willie)’에 미키마우스라는 생쥐 캐릭터를 이용해 히트를 하며 등장해 1930년 잉거솔사에게 시계에 ... 백설공주와 일곱 난장이, 피노키오, 인어공주, 밤비, 신데렐라, 피터팬, 잠자는 숲속의 미녀 등의 클래식 캐릭터 그리고, 101마리의 달마시안, 포카혼타스, 토이스토리 (최초의 디지털 ... 꼽추, 알라딘, 라이온킹, 인어공주, 뮬란, 타잔 등의 신생캐릭터로 우리에게 친숙하게 알려진 것만도1,000여종에 달하는 캐릭터를 보유하고 있다.디즈니사는 캐릭터산업의 문화콘텐츠의 소스역할뿐
    리포트 | 7페이지 | 2,000원 | 등록일 2011.07.12
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:19 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대