• 통큰쿠폰이벤트-통합
  • 통합검색(55,573)
  • 리포트(50,029)
  • 시험자료(1,792)
  • 자기소개서(1,159)
  • 방송통신대(805)
  • 논문(678)
  • 기업보고서(537)
  • 서식(257)
  • 표지/속지(176)
  • ppt테마(117)
  • 노하우(14)
  • 이력서(9)

바로가기

시계 독후감 - 시계 관련 독후감 39건 제공

"시계" 검색결과 181-200 / 55,573건

  • 화학및실험2 시계반응 사전보고서
    Subject : 시계 반응2. Object : 시계 반응을 이용해서 반응 속도의 차이가 큰 반응 단계가 연속적으로 일어나는 화학반응의 특성을 알아본다.3. ... Apparauts삼각플라스크 (50mL, 100mL) 눈금실린더 (10mL) 피펫 (5mL, 10mL, 20mL)온도계 초시계 드롭퍼씻기병6. ... 이때 가장 느리게 진행되는 반응의 단계를 ‘속도 결정단계’라고 한다.시계반응반응의 일정한 시간이 지난 후에 앙금이 생기는 반응의 경우 앙금이 생기거나 종말점을 눈으로 확인할 수 있을만큼
    리포트 | 6페이지 | 2,000원 | 등록일 2023.11.06
  • 시계반응 (화학 및 실험 A+자료 )
    3주차화학 반응 속도(시계반응)1. 실험 목적반응 물질의 농도를 달리하여 시계반응으로 속도를 측정하고, 반응속도 상수와 반응차수를 구한다.2. 실험 이론1.1. ... 따라서 S2O32- 이온이 모두 반응하여 없어지는데 필요한 시간을 색깔로 알려주므로 시계와 같은 구실을 한다.I2 + 녹말 → blue complex본 실험에서 결정하려는 반응 속도식은 ... -]2 (메카니즘 2-a의 속도식)둘째 단계가 속도결정단계이면 속도식은 그 반응이 마치 1단계로 일어나는 것과 같다.속도 = k[I-]2[S2O82-] (메카니즘 2-b의 속도식)시계반응은
    리포트 | 11페이지 | 1,500원 | 등록일 2019.11.08
  • 소재화학 손목시계 소재 개발동향 과제 레포트
    현재까지도 이 두 가지 손목시계를 사람들은 많이 착용하고 다니지만, 요즘 같은 스마트 시대에 손목시계도 스마트워치가 큰 인기를 끌고 있다. ... 손목시계는 단순한 시간표시 기능을 넘어 다양한 디자인과 기능을 제공하고 있다.과거부터 현재까지 손목시계의 디자인은 크게 세 가지 단계로 나눌 수 있다. ... 첫 번째는 기계식 손목시계 시대로, 이는 수동으로 시간을 조절해야 하는 복잡한 기계를 사용한 시대였다. 두 번째는 전자식 디지털 손목시계 시대이다.
    리포트 | 11페이지 | 4,000원 | 등록일 2023.06.17
  • 시계태엽 오렌지와 멋진신세계에서 나타나는 적응과 자유의지
    그러나, ‘시계태엽 오렌지’에서도 개인의 의지를 말살시키는 장면을 충분히 찾아볼 수 있었다. ... 헉슬리의 ‘멋진 신세계’에서는 ‘시계태엽 오렌지’보다 더욱 적극적이고 강압적인 모습으로 개인의 자유 의지를 말살시킨다. ... ‘시계 태엽 오렌지’에서 찾아볼 수 있는 개인 자유 의지의 말살 방식은 먼저 공권력의 과도한 폭력성에서 찾아볼 수 있다.
    리포트 | 2페이지 | 1,000원 | 등록일 2022.10.11 | 수정일 2022.12.12
  • 디지털 시계 콤보(combo2) 소스
    module clock(input clk,input time_set,input inc_hr,input inc_min,output reg [9:0] dot_col,output reg [13:0] dot_dis,output reg [7:0] apm,output reg [7..
    리포트 | 13페이지 | 1,000원 | 등록일 2020.04.22 | 수정일 2020.12.24
  • 일반화학실험 시계반응 예비,결과보고서
    화학 반응 속도: 시계반응1. ... 속도 결정 단계가 포함된 메커니즘으로 일어나는 화학 반응 중에서 반응이 시작되고 일정한 시간이 지난 후에 갑자기 용액의 색깔이 변하는 반응을 “시계 반응”이라고 하며 시계 반응에서는 ... 초록- 실험 목표시계반응을 이용해서 반응속도의 차이가 큰 반응단계가 연속적으로 일어나는 화학반응의 특성을 알아본다.- 실험 이론A 분자 2mol이 소모되면 B 분자 1mol이 생성되는
    리포트 | 5페이지 | 2,000원 | 등록일 2022.06.16
  • 일반화학실험 보고서 (요오드 시계 반응)
    Gen Chem2 Post-lab9; The Iodine Clock Reaction1. Objective반응 속도 법칙에 따르면 반응의 속도는 반응물의 농도에 따라 달라진다. 또 온도 역시 반응 속도에 큰 영향을 준다. 이번 실험에는 아이오딘 이온과 과산화황산 이온이 ..
    리포트 | 6페이지 | 1,000원 | 등록일 2020.05.31
  • 디지털 알람 시계 회로도 설계 및 제작
    디지털 알람 시계 회로도 설계 및 제작Contents Conclusion 작동 원리 주요 소요 부품회로도 및 회로 설명 TIME TABLE 1. ... 최종 설계 목표 ( SPEC ) 시 , 분 , 초의 카운터 기능 알람 기능 AM( 오전 )/PM( 오후 ) 표시 기능 Reset ( 작동하고 있는 시계를 Reset 시키는 것 ) Time
    리포트 | 16페이지 | 2,000원 | 등록일 2020.02.14
  • 일반화학및실험2 시계반응 결과레포트
    리포트 | 2페이지 | 2,500원 | 등록일 2022.05.01
  • 화학 반응속도-시계반응(예비 보고서)
    리포트 | 5페이지 | 1,500원 | 등록일 2023.03.13 | 수정일 2023.03.19
  • 잘 읽히는 손자병법 원문과 해석 01 시계
    孫子曰: 손자왈 손자가 말했다.兵者,國之大事,死生之地,存亡之道,不可不察也。병자,국가대사,사생지지,존망지도,불가불찰야.군대(를 운용하는 것)는 국가의 큰 일이다.땅에 생사가 있고, 도(명분)에 존재하고 망함이 있다. 그러니 살피지 않으면 안된다.故經之以五事,校之以(七)計..
    리포트 | 13페이지 | 5,000원 | 등록일 2022.12.14
  • 일반화학실험 시계반응 결과레포트(메인레포트)
    Main-report- 일반화학실험 -Title시계반응목차Data & ResultsDiscussion2.1. 실험에 사용된 시약들의 역할?2.2. ... (1) 녹말이번 실험의 시계 반응은 이렇게 두 단계로 이루어지는데, 첫번째 반응의 속도가 두 번째 반응의 속도보다 느리기 때문에 첫번째 반응을 통해서 생성된 은 두 번째 반응에 의해서 ... 는 반응에 참여하지 않는 염이므로 이온 농도는 일정하게 유지하면서도 반응에 다른 영향을 덜 가게 할 수 있다.또한, KCl은 반응의 를 증가시켜 부촉매로서의 기능을 하고, 의 경우시계
    리포트 | 8페이지 | 1,000원 | 등록일 2022.02.28
  • [A+ 과제] 영화 시계태엽 오렌지 감상문
    부조화는 불편을 유발한다. 인간은 한 조각이 빠진 퍼즐을 맞추고 싶어하는 것처럼, 무엇이든 조화롭게 만들고 싶은 본능이 있기 때문이다. 이는 특히 개인보다 인간 사회에서 더 두드러진다. 는 이를 활용하여 메시지를 던진다. 주인공 알렉스는 머릿속이 폭력과 섹스로 가득 찬..
    리포트 | 2페이지 | 1,000원 | 등록일 2022.01.15 | 수정일 2022.03.20
  • [EPR 역설과 상자 속의 시계 사고 실험] 내용 분석 + 각종 문제
    위한 실험‘상자 속의 시계’ 사고 실험의 구성 요소① 작은 구멍 : 광자함의 광자가 구멍을 통해 상자 밖으로 빠져 나감② 상자 안의 시계 : 상자의 구멍이 열리고 닫히는 시간을 측정③ ... 독서「EPR 역설과 상자 속의 시계 사고 실험 」※ 본 서적은 「저작권법」에 따라 보호됩니다. ... 그다음 상자 안의 광자가 한 개 빠져나올 때까지 창문을 열었다가 닫고 그 시간을 상자 속의 시계
    시험자료 | 27페이지 | 1,500원 | 등록일 2024.04.07
  • (영화감상문) <트레인스포팅> & <시계태엽오렌지>
    [영화감상문] &광기와 선택전 미식축구 선수이자 전 NCAA(National Collegiate Athletic Association) 코치였던 루 홀츠(Lou Holtz, 1937~)는 2015년 스튜번빌 프란시스칸 대학교(Franciscan University of..
    리포트 | 8페이지 | 2,500원 | 등록일 2022.09.04
  • 일반화학실험 시계반응 예비레포트(프리레포트)
    시계 바늘이 없는 자명종 시계처럼 색이 변하기 전까진 용액에서 아무 변화도 느낄 수 없다. ... “시계 반응”이라 한다. ... 시계 반응에서의 경우 느린 속도로 일어나는 첫 반응에서 생성된 화합물이 빠르게 진행되는 두 번째의 반응에 의해 곧 없어지게 된다.
    리포트 | 11페이지 | 1,000원 | 등록일 2022.02.28
  • [일반화학실험1] 시계반응 실험보고서
    실험 이론- ‘시계반응’이란?‘시계반응’이란 일정한 시간이 지난 뒤에 변색이나 침전 등이 변화가 일어나는 반응을 의미한다. 시계반응을 통해서는 반응 속도를 측정할 수 있다. ... 시계반응 실험 보고서1. 실험 목적시계반응을 통하여 반응물의 농도 변화에 따른 반응 속도를 측정함으로써 반응 속도에 미치는 농도의 영향을 알아보고 반응 차수를 구한다.2.
    리포트 | 5페이지 | 1,500원 | 등록일 2021.10.15
  • 화학공정실험2 시계반응 예비보고서
    실험 제목: 시계반응2. 실험 목적화학 반응의 속도는 농도, 온도, 반응 물질의 표면적, 촉매 등 여러 가지 요인의 지배를 받는다. ... 유도기(誘導期)를 가진 화학 반응의 특수한 경우이다.일반적으로 시계반응에는 3가지 반응 단계가 필요하다. ... 이 실험에서는 소위 시계 반응을 이용하여 반응 속도에 미치는 농도의 영향을 조사하고, 반응 속도 상수 및 반응 차수를 구하는 방법을 실험한다.3.
    리포트 | 11페이지 | 2,000원 | 등록일 2020.09.14
  • [일반화학실험]화학반응속도 시계반응 실험보고서
    실험날짜조 & 조원조조원실험 제목화학반응속도 시계반응실험 목표화학 반응 속도는 농도, 온도, 촉매의 영향을 받는다.
    시험자료 | 3페이지 | 1,500원 | 등록일 2020.04.20
  • 화학 반응 속도 - 시계 반응 실험 결과 레포트
    실험1(화학 반응 속도: 시계 반응) 결과 보고서201912630 고하영Ⅰ. 실험 일시: 2019.11.29.Ⅱ. ... 실험 목적반응 물질의 농도를 달리하여 시계 반응을 통해 반응속도를 측정하고 반응속도 상수와 반응차수를 구한다.Ⅲ. ... 실험 기구 및 시약100mL 비커 3개, 50mL 비커 6개, 25mL 눈금 피펫 6개, 초시계 1개, 세척병 1개, 온도계 1개, 자석 교반기, 0.200M KI(aq), 0.200M
    리포트 | 4페이지 | 1,500원 | 등록일 2020.04.21 | 수정일 2021.03.28
  • 유니스터디 이벤트
AI 챗봇
2024년 10월 02일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:19 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감