• 통큰쿠폰이벤트-통합
  • 통합검색(6,042)
  • 리포트(5,840)
  • 시험자료(116)
  • 자기소개서(37)
  • 방송통신대(20)
  • 논문(18)
  • 서식(7)
  • 이력서(2)
  • ppt테마(2)

"7 segment" 검색결과 181-200 / 6,042건

  • [예비레포트] M3 숫자표시기(7-SEGMENT LED) 응용
    실험제목M3 숫자표시기(7-SEGMENT LED) 응용2. ... 00010110000200101101101300111111001401000110011501011011011601101011111701111110000810001111111910011111011(2) Code (비트연산자로 구현)void setup ... 일반적인 7-SEGMENT 가 나타낼 수 있는 모양 개수는 18개 이다.4.
    리포트 | 3페이지 | 1,000원 | 등록일 2019.09.23
  • 서강대학교 21년도 디지털논리회로실험 3주차 결과레포트 (A+자료) - Decoder, 7-Segment Display
    보통 n-bits의 입력으로 2n-bits의 출력 코드를 발생시킨다.일반적인 decoder-7-segment display-7-segment display는 A~G의 7개 LED로 ... 진리표에 맞게끔 7-segment display가 출력되는 모습을 확인할 수 있었다.VHDL을 이용한 구현 (optional)STEP 19:그림 34 – 7-segment display의 ... 마치 7-segment display 4개가 병렬로 연결된 듯한 모습인데, 4개의 part에서 각각 DIG1~DIG4가 공통으로 연결된 것을 볼 수 있다.
    리포트 | 34페이지 | 2,000원 | 등록일 2022.09.18
  • 서울시립대 전자전기설계2(전전설2) 8주차 사전보고서
    실습 3 점을 포함한 8비트 신호의 7-segment 설계앞에서 작성한 7-segment 코드가 점을 제외한 코드였다면 현재 작성하는 8비트 신호의 7-segment는 숫자 뒤에 작게 ... 각 데이터에 Low 값을 전달하여 LED에 불이 들어오도록 설정한다.1. 7-segment decoder 설계7-segment 설계에서는 하나의 segment LED에 0에서 9까지 ... Dynamic 7-segment 컨트롤러 설계Dynamic-7segment는 제어되는 7-segement의 숫자가 늘어나서 동시에 늘어나는 I/O를 아끼기 위해 사용하는 데이터라인을
    리포트 | 13페이지 | 1,500원 | 등록일 2019.11.05
  • 서강대학교 디지털논리회로실험 - 실험 3. Decoders and Encoders 예비 보고서
    [그림 9]4) BCD-to-7-segment decoderBCD코드를 [그림 10]의 7-segment 출력에 적합한 코드로 변환하는 논리 회로이다. ... 사용 부품1) 74LS477-segment decoder의 기능을 하는 소자이다. 입력의 BCD코드를 7-segment 입력에 바로 인가할 수 있는 출력으로 변환한다. ... 이때, 330Ω의 저항을 중간에 연결해야 7-segment가 안정적으로 동작한다.[그림 13]진리표는 [그림 14]와 같다.
    리포트 | 11페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 마이크로 프로세서 시계 프로젝트
    설계(설계 과정 설명)1.요구 기능a. 7-segment의 각 자리 수에 지정된 시간을 표시한다.b. ... 개요■내장 지연함수를 사용하여 디지털시계를 제작●4자리의 7-segment(FND)에 다음과 같이 표시함(분) (10초) (1초)·(0.1초)○각 자리 수에 지정된 시간을 표시하고, ... 시간 지연 함수를 사용하여 7-segment에 표시되는 시간을 실제 시간과 최대한 오차가 작게 만들어 줘야한다.c.1초와 0.1초 사이의 점(도트)는 0.1초 자리의 값에 따라 깜박여야
    리포트 | 7페이지 | 1,500원 | 등록일 2020.04.29
  • Counter 회로제작 (기초공학실습, KAIST)
    다음회로도와 같이 연결하시오.74LS477-segment의 같은 기호끼리 연결하시오2. ... RESULT WITH ERROR ANALYSIS (or GRAPH)CK pulse 에 따른 A,B,C,D 점에 대한 상태.- 실제로 7-segment-display의 동작을 확인하였다 ... 만든 것이 있다.BCD의 입력 값을 이용한 segment output의 digit 값 테이블은 아래와 같다.3.
    리포트 | 8페이지 | 1,500원 | 등록일 2020.12.31
  • 서강대학교 디지털논리회로실험 - 실험 3. Decoders and Encoders 결과 보고서
    _{ 1I _{ 0=11[그림 8]3) 7-segment decoder의 동작 확인A. 74LS47을 이용하여 7-segment decoder의 동작 확인74LS47을 이용하여 [ ... [그림 11]에 입력에 따른 7-segment 출력을 나타내었다. ... 이때, 퀴즈 3번 문제에서는 common cathode 방식의 7-segment를 가정하였으나 실험 키트의 7-segment는 common anode 방식이므로 퀴즈 문제의 진리표를
    리포트 | 10페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab08(결과) / 2021년도(대면) / A+
    실험의 목적Verilog HDL 언어를 사용하여 7-segment, Piezo 등 주변 디지털 장치 제어를 실험하며 그의 controller를 설계한다. ... Conclusion- Verilog HDL 언어를 사용하여 7-segment, Piezo 등 주변 디지털 장치 제어를 설계 및 실험할 수 있다. ... 차례대로 7-Segment핀, Common Cathode 7-Segment 회로, Common Anode 7-Segment 회로를 나타낸다.a. 7-Segment Decoder 진리표b
    리포트 | 17페이지 | 2,000원 | 등록일 2022.07.16
  • 연세대학교 전기전자공학부 20-1학기 기초디지털실험 week 2 보고서
    After understanding the theoretical backgrounds, we will implement 7-segment controller and ALU by using ... Abstract There are two main types of logic circuits: combinational logic circuits and sequential logic ... circuits.
    리포트 | 12페이지 | 3,000원 | 등록일 2020.08.18
  • 실험 1 프로젝트 - 전화번호 입력
    segment B에 “0”이 켜진다. ... 이 과정에서 C에는 불이 들어와 있으면 안된다.-> LED2에 불이 들어오면 통화가 가능하게 되었다는 뜻으로 7-segment LED C에 “9”의 숫자가 들어오면서 count-down이 ... segment LED B에 “0”이 켜진다.
    리포트 | 10페이지 | 4,000원 | 등록일 2020.10.14
  • 마이크로프로세서 과제
    segment에 표시BSF PORTA, 3 ;전에껄 꺼줌BSF PORTA, 2BSF PORTB, 2BCF PORTB, 1MOVF D_1SEC, 0MOVWF TEMPCALL CONVMOVWF ... segment에 표시BSF PORTA, 3 ;전에껄 꺼줘야함BSF PORTA, 2BCF PORTB, 2BSF PORTB, 1MOVF D_10SEC, 0MOVWF TEMPCALL CONVMOVWF ... 를 설정하여 00이면 처음 01이면 다음, DISP는 프로그램에 들어올 때마다 1씩 증가GOTO DISP2DISP1INCF DISP_CNT, 1 ;D_1SEC 변수 내용이 DG4 7-
    리포트 | 6페이지 | 1,000원 | 등록일 2020.05.22
  • 충북대 디지털시스템설계 결과보고서5
    해당하는 segment를 선택하고 숫자를 7-segment에 맞게 변환하는 서브모듈인 bin2seg를 불러와 4개의 7-segment들을 변환시킨다. ... 다음 always문에서는 4개의 7-segment 중에 하나를 선택하기 위한 counter를 설계한다.1sec counter를 통해 7-segment에 출력할 데이터를 계산한다. 4개의 ... 그리고 LED에서와 마찬가지로 clock_12MHz를 PLL24X2에 입력시켜 clock_24MHz로 변환시고 서브 모듈인 7-segment 구현 모듈을 불러온다.7-segment
    리포트 | 8페이지 | 1,500원 | 등록일 2022.02.12 | 수정일 2022.02.14
  • 실습 12. stopwatch 설계_예비보고서_중앙대_아날로그및디지털설계실습
    연결 BCD 카운터 출력 4bit 을 BCD to 7-segment decoder(MC14511B)를 통해 7-segment 에 연결한다. ... 이때 Decoder 와 7-segment 사이에 저항(330Ω)을 달아 과전류를 방지한다. ... 또한 이론에 언급한 대로 decoder 의 출력 방식과 7-segment LED 의 type 간의 매칭에 유의한다.
    리포트 | 6페이지 | 1,000원 | 등록일 2021.08.13
  • 아주대학교 논리회로실험 / 8번 실험 Counter 에비보고서
    동조되는 알파벳을 7-segment의 데이터 시트를 같이 참조한다.2. ... 특정핀 (2, 3번 핀)을 GND에 연결시키는 초기화과정을 거치면서 결과를 확인한다.1. 7447 소자로 위와 같이 회로를 구성한다.2. 7 segment를 연결 할 때 데이터 시트에서 ... .3비트 2진 카운터의 경우 클록 펄스가 입력될 때마다 000 ~ 111까지의 최대 8가지 상태를 순환하고, 111 다음에는 다시 000으로 데이터가 사라지지 않고 순환하는 앞선 7
    리포트 | 5페이지 | 1,000원 | 등록일 2021.07.20
  • 전기및디지털회로실험 아두이노M3 예비보고서
    7-segmentm display의 led들 중 적절한 것을 켜주어 해당 숫자가 표시되도록 하여 주는 ic를 bcd-to-7-segment decoder/driver라고 부른다. ... segment를 캐소드(음극)이라 설정하고 회로 시뮬레이션을 했다.int a=2;int b=3;int c=4;int d=5;int e=6;int f=7;int g=8;void setup ... 관련이론7 segment display: 숫자표시기는 일곱개의 발광다이오드로 이루어진 표시창으로 일곱개의 LED a~g 중 어느 것들이 켜지느냐에 따라 0~9까지의 숫자가 나타나도록
    리포트 | 14페이지 | 1,000원 | 등록일 2021.03.20 | 수정일 2021.09.03
  • D Flip-Flop을 활용한 십진 감가산기
    >9 : +0110) , 감산 예외처리(sub 7447 BCD to 7segment프로젝트 사용 부품입력 모듈74LS74 D FLIP-FLOP – 5개7447 BCD to 7-Segment ... 가산계산은 가산스위치를 올린 다음 CLK의 Positive Edge와 Negative Edge 에 따라 입력을 받아 두 수의 합이 7segment display() 형태로 일의 자리부터 ... 이러한 회로를 구성하여 계산 결과값이 하나의 7segment display()로 모두 표현되며 2자리수 이상의 수를 계산 할 수 있게 한다..설계 요구 사항 분석대분류 : 입력 모듈
    리포트 | 13페이지 | 3,500원 | 등록일 2022.05.01
  • 논리회로설계실험 BCD가산기 레포트
    그 결과 입력된 두 수를 더해줄 BCD Adder 코드, BCD를 7 segment로 나타낼 7 segment 디코더, 그리고 BCD Adder의 계산 결과를 7 segment로 전해줄 ... segment codeBCD to 7segBCD의 값을 7-segment로 바꿔주는 코드이다.input으로 4bit의 수를 받는다(5행).output으로 7bit의 수를 출력한다(6행 ... 이를 위해서 7 segment 디코더에서 진리표와 카르노맵을 이용하여 7 segment에서의 7개의 파트에 대한 논리식들 구하였고, 게이트수를 최소화 하였다.
    리포트 | 14페이지 | 7,000원 | 등록일 2021.10.09
  • 12. Stopwatch 설계 결과보고서 [2021년도 아날로그 및 디지털 회로 설계 실습 A+ 자료]
    BCD카운터 출력 4bit을 BCD to 7-segment decoder(MC14511B)를 통해 7-segment에 연결한다. ... (C) 전원을 인가하고 7-segment LED에 표시되는 숫자가 0, 1, 2, ..., 8, 9, 0, 1, 2, ...의 순서대로 표시되는 지 확인한다.7-segment LED에 ... 이때 Decoder와 7-segment사이에 저항(330Ω)을 달아 과전류를 방지한다.
    리포트 | 6페이지 | 1,000원 | 등록일 2022.10.24
  • 디지털 논리회로의 응용 가산기/비교기/멀티플렉서/디멀티플렉서
    그림 5와 같은 회로를 구성하고 입력에 따른 7-segment의 출력 상태를 기록한다. ... 이번 실험에서는 대표적인 디코더 중 하나인 BCD to 7-segement decoder에 대해 다루도록 한다. ... 재료저항7404, 7408, 7420, 7432, 7447, 7483, 7485, 7486, 74139, 741517-segment실험 방법비교기비교기의 기본적인 기능은 두 2진수의
    리포트 | 10페이지 | 2,000원 | 등록일 2022.03.03
  • 메카트로닉스 BCD스위치 7세그먼트 표시 실험 레포트
    메카트로닉스 및 실습실습보고서[BCD 스위치의 값을 7-세그먼트에 표기하기]■과목명:메카트로닉스 및 실습■담당교수:■제출일:■학과:■학번:■성명:1.실험 개요- 실험 목적7-segments를 ... 한 자리에 해당하는 4비트나 두 자리에 해당하는 8비트를 입력받아 이를 해석하여 적절한 모습으로 표시해 주는 장치도 존재합니다.- 7-segment 동작 원리7-Segment란 Bar형태로 ... segments 실험을 진행하여 결과적으로 로터리 형태의 BCD 장치를 통해 7-세그먼트에 원하는 숫자 결과 값을 출력할 수 있었습니다.실험 과정에서 집적회로(IC)를 7447이 아닌
    리포트 | 4페이지 | 2,000원 | 등록일 2021.04.25
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:57 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대