• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(10,066)
  • 리포트(7,873)
  • 방송통신대(1,265)
  • 시험자료(498)
  • 자기소개서(238)
  • 이력서(60)
  • ppt테마(48)
  • 서식(42)
  • 논문(40)
  • 표지/속지(1)
  • 노하우(1)

"Lock&Lock" 검색결과 181-200 / 10,066건

  • 이전적지 도시문화재생의 성공모델 F1963 분석
    폐공장 재생 복합문화공간 - 도시재생의 대표적인 성공 모델• 위치 : 부산 수영구 구락로123번길• 창립 년도 : 2016년• 운영 시간 : 매일 09:00-24:00 (시설별로 다소 ... 상이)• 대지면적 : 22,279m2 (6,740평) & 연면적: 10,6650m2 (3,037평)• 전시공간 1798평 & 중정 265평 & 상업공간 651평 & 브릿지 323평 ... & 부속건물 475평• 주차시설 : 대형 주차장 3개소, 기본 유료, 입점 업체 이용 시 무료주차 등록 가능
    리포트 | 44페이지 | 5,000원 | 등록일 2021.10.25 | 수정일 2023.09.11
  • 대학 영어 자유 글쓰기 내용이 재미있어서 A+
    I got up as usual and went to take English class at 9 o'clock. ... There's a saying " there's ups and downs in everything." and I deeply agree with it due to one day of ... So I looked up at the sky in the hope of rain,and a lot of dark cloud covered the pale gray sky.
    리포트 | 2페이지 | 1,500원 | 등록일 2022.02.21
  • Jane Eyre, Wide Sargasso Sea essay
    Moreover, as Jane was locked up in the Red Room, Antoinette was locked up in an attic and had suffered ... In her childhood, she was tortured by her aunt Reed, like being locked up in a “Red Room”, nonetheless ... In Jane Eyre, Antoinette is described as mad, captive woman who is locked up in an attic but the attic
    리포트 | 3페이지 | 1,000원 | 등록일 2019.11.28
  • 경희대학교 마케팅전략 신건철 과제11
    customize market offerings, the ability to disintermediate distribution, global reach, round-the-clock ... access, and the possibility of instantaneous delivery. ... information, the increasing returns to scale of network products, the ability to efficiently personalize and
    리포트 | 3페이지 | 1,000원 | 등록일 2021.07.12
  • 영어로 전개하는 글쓰기 대학영어 작문 과제A+
    First, I woke up at 8 o'clock to take an engineering math class that starts in the first class. ... Then I went down to Y Plaza for lunch with my colleagues and ate salad. ... After that, I moved to the classroom at 10:50 to take Christian and World Culture classes in the third
    리포트 | 1페이지 | 1,500원 | 등록일 2022.02.21
  • 하이테크 마케팅 기말고사 총 정리본
    다면 시장 플랫폼의 초기 성장 전략Chicken-and-egg problem: 좋은 공급자가 먼저? 수요자가 먼저? ... [고착화]와 전환비용Lock-in은 하이테크 산업의 보편적 현상Lock-in은 엄청난 부의 원인, 동시에 고객 입장에선 두통 원인Lock-in에 전략적으로 대처하라Lock-in의 ... lock-in] -> 제품 적응에 따라 전환비용 증가정보와 데이터 베이스를 활용한 고객관계관리 [CRM[로열티 프로그램 [artificial lock-in]후발 기업의 Lock-in
    시험자료 | 9페이지 | 5,000원 | 등록일 2022.06.15
  • 간호관리학 인수인계 근무표 RRD
    ○인계장진단명수술명RRDTPPV & Phaco & IOL(OS)입원동기3일전부터 좌안 시력저하 LMC(수 정안과) 진료후 좌안 MHRD 진단 하 대학병원 진료 권유받아 119 연 락 ... Phaco & IOL(OS) 수술함8/8Ÿ 24시간 동안 엎드려 생활해야 함특이사항nonemedicationSelf Medication QD- Dichlozid tab [25mg] ... 예정으로 불안한 모습임Ÿ visual disturbance 및 general weakness함Ÿ mydrin OP call후 한 번 넣어줌또한, 3분 간격으로 5회 넣음Ÿ TPPV &
    리포트 | 6페이지 | 3,000원 | 등록일 2024.08.23
  • 담낭용종 수술실 실습 수술 사례
    apply&resection 시행함.7) 추가 dissection 시행하여 cystic artery 확인하고 , H-lock apply&resection 시행함.8) 12mm trocat ... GB retraction 하고 bovie로 dissection하여 GB를 GB bed에서 분리함.6) GBD 주위 dissection 시행하여 cystic dust 확인하고 , H-lock ... 12) 0Blue nylone 3-0 NB324 (CE-6) 0Sofsilk 0 CS-10-M (5s)Polysorb 2-0 UL-878절차 및 간호 (1)1) side rail, locking
    리포트 | 4페이지 | 1,000원 | 등록일 2023.11.20
  • 운영체제 ) 임계영역과 임계영역을 해결하기 위한 방법에 대해 작성하시오.
    이 명령어는 주로 lock 변수를 사용하여 임계 영역에 대한 접근을 제어하는 데 사용되는데, 이 lock 변수는 흔히 0과 1 두 가지 상태를 가진다. ... Test-and-Set 명령어는 메모리 위치의 값이 0인지 확인한 후, 그 위치의 값을 1로 설정하는 동작을 원자적으로 수행한다. ... 그 후, Swap 명령어를 사용하여 자신의 키 값과 lock 변수의 값을 교환한다. 만약 교환 후의 키 값이 0이라면, 해당 프로세스는 임계 영역에 진입할 수 있다.
    리포트 | 5페이지 | 5,000원 | 등록일 2023.12.14
  • [성인간호학 실습 케이스] 신부전 간호사정, 간호과정 + 투석실 소감문
    Inspection and palpation of skin(시진 시 윤기가 없고 촉진 시 탄력이 저하되고 건조한 양상), hair and nails(시진시 윤기가 없고 촉진시 푸석함 ... (학생간호사)5.- 매일 8시간 마다 IV line, H-lock, hemocatheter 적용 부위의 발적, 팽만, 화농성 배액, 통증을 사정함- 매일 1회 IV line, H-lock ... (간호사)- 매일 1회 IV line, H-lock, hemocatheter 적용 부위의 발적, 팽만, 화농성 배액, 통증을 사정한다.
    리포트 | 31페이지 | 2,500원 | 등록일 2023.01.26
  • narrative writing 대학 영어 과제 A+ 교수님 입장에서 흥미로운 글
    I got up as usual and went to take English class at 9 o'clock, but on the way out, I felt a little bit ... I took four courses in college English 2, Chapel, and Statistics.
    리포트 | 1페이지 | 1,500원 | 등록일 2022.02.21
  • 락앤락 기업분석,SWOT분석및 락앤락 기업전략과 마케팅분석
    LOCK&LOCK을 제품명과 기업명으로 동시에 것이다. ... 락앤락 기업소개락앤락은 신개념 밀폐용기 「락앤락(Lock & Lock)」을 생산하는 밀폐용기 전문 기업이다. 1985년 설립 이후 주방, 욕실, 어린이용품 등 600여가지의 다양한 ... 달자’는 아이디어를 생각해 낸 후, 300만 번의 내구성 실험(힌지 굽힘 실험기로 좌우80도, 굽힘 각도로 테스트)을 거쳐 1년여의 실험 끝에 1998년 혁신적인 4면결 착 방식의 락-타입
    리포트 | 9페이지 | 3,600원 | 등록일 2021.06.01
  • 논리회로실험 예비보고서10
    Single pulse clock으로 singple pulse를 가하는 경우에는 74HC90(Decade and Binary Counte다 출력전압값이 변화하는 것을 알 수 있는 데 ... 실험절차 및 예상결과-실험1) DAC① single pulse clock으로 single pulse를 가하여 D/A converter의 출력을 측정한다.② 주파수 발생기를 이용하여 ... ·예상결과 :Single pulse clock를 가하여 D/A converter의 출력 관찰주파수 발생기를 이용하여 계단 파형(1kHz)이 나오는지 관찰저항 Rf를 2.7kohm으로
    리포트 | 8페이지 | 1,500원 | 등록일 2020.09.18
  • UCIE 영국 보고서
    피쉬 앤드 칩스는 흰살 생선 튀김에 감자튀김을 곁들인 것으로, 서민들이 즐겨 먹는 음식이다.Fish and Chips피시앤드칩스의 근원은 추측하는 바로는 감자 튀김은 프랑스에서 들여왔고 ... 한때 기네스북에 ‘지구상에서 가장 시끄러운 밴드’로 기록되었으던 이들은 락의 황제, 락의 교과서, 락의 전설등으로 불리며 최고의 헤비메탈 그룹임을 인정 받아왔다. ... 그룹으로, 70년대 레드제플린과 함께 락 음악계를 양분했던 밴드이다.
    리포트 | 5페이지 | 1,000원 | 등록일 2020.05.15
  • 면역혈액학_4과_정리
    갈락토즈에 Fucose를 붙여주게 되면 gene A와 B가 만들어낸 enzyme 이 immunodominant sugar 를 structure 에 붙여서 A형 B형이 결정된다.ABO ... 그래서 안티 B와도 응집을 하게 된다.없어야 될 항원이 있는 경우: B(A) phenotypeB 형인데 N-갈락토즈가 생겨서 (A 항원이 조금 있어서) 민감도가 좋은 시약들이 반응하는 ... Acquired B phenotype박테리아가 Dacetylating enzyme 을 만들어서 N-acetylgalactosamine 을 그냥 galactosamine 으로 만들고, D 갈락토즈와
    리포트 | 7페이지 | 3,000원 | 등록일 2024.02.15
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab06(결과) / 2021년도(대면) / A+
    Materials and Methods가. 실험 장비HBE Combo-II SE3. ... modeling combo logic with an always block, use blocking assignments.- When modeling both sequential and ... combo logic within the same always block, use nonblocking assignments.- Do not mix blocking and nonblocking
    리포트 | 18페이지 | 2,000원 | 등록일 2022.07.16
  • (A+/이론/예상결과/고찰) 아주대 통신실험 결과보고서10
    What is granular noise and how can it be reduced? ... Master clock이 64kHz encoder의 clock이 32kHz가 되도록 주파수 손잡이를 조정한다.5. ... Slope control system의 경우 각각의 bit마다 clock signal이 따로 필요하기 때문에 더 큰 clock rate를 필요로 하게 된다.5.
    리포트 | 21페이지 | 1,500원 | 등록일 2021.10.24
  • 생활영어, 9. After twenty years 해석
    그 당당함은 행인이 거의 없는 것으로 보아 보여주기 위한 것이 아니라 습관적이었다.The time was barely 10 o'clock at night. but chilly guts ... 순찰 중인 경찰관이 대로를 당당하게 걷고 있었다.The impressiveness was habitual and not for show, for spectators were few. ... officer, with his stalwart form and slight swagger, made a fine picture of a guardian of the peace.
    리포트 | 9페이지 | 3,000원 | 등록일 2022.07.17 | 수정일 2024.04.14
  • 디집적, 디지털집적회로설계 실습과제 7주차 인하대
    C는 clock 신호로 반주기 25n 주기 50n으로 설정했고 시작시 delay는 없도록 했다. AND gate까지 subckt는 이전에 작성했던 코드들과 동일하다. ... AND gate는 회로도 그대로 NAND의 출력을 out1로 받아 inverter의 입력으로 넣어서 AND의 출력 out을 받았다. ... 예를 들어 만약 AND gate 파일을 subcircuit을 사용해 include문으로 작성하게 되면 작성한 AND gate 파일을 다른 회로에서 include할 때 문제가 생겼다.
    리포트 | 8페이지 | 1,500원 | 등록일 2021.08.31
  • (10가지 기능, 코드 전체 포함, 직접 작성한 코드, 확장성 좋은 코드)서울시립대학교 전전설2 10주차(Final) 예비레포트(코딩 매우 성공적, A+, 10점 만점 11점, 디지털 시계)
    [0];OUT_DATA[24] = clock_temp_data_am[1];OUT_DATA[25] = 8'h3A; //':'OUT_DATA[26] = clock_temp_data[2] ... AM_ON == 2) beginday = day + 1;week = week + 1;endelse if(HOUR >= 23 && AM_ON == 0) beginday = day + ... = year + 1;endif(year >= 100) beginyear = 0;endif(week >= 7) beginweek = 0;endendif(OUT_STATE == 5 &&
    리포트 | 33페이지 | 3,700원 | 등록일 2020.07.22 | 수정일 2020.12.07
AI 챗봇
2024년 08월 30일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:14 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대