• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(10,066)
  • 리포트(7,873)
  • 방송통신대(1,265)
  • 시험자료(498)
  • 자기소개서(238)
  • 이력서(60)
  • ppt테마(48)
  • 서식(42)
  • 논문(40)
  • 표지/속지(1)
  • 노하우(1)

"Lock&Lock" 검색결과 121-140 / 10,066건

  • 외식기업(스카이락 SKYLARK)의 실패사례
    & 코스 등이 있다.또한 스카이락은 프로슈머 마케팅을 통해 메뉴수를 늘려갔다. ... 아래표는 2006년 스카이락의 메뉴가격이다.가격어린이 메뉴5,300원 ~ 7,200원샐러드 & 수프3,000원 ~ 8,600원애피타이저3,900원 ~ 8,900원비프 & 커트렛8,200원 ... 그 이외에도 샐러드 & 스프, 사이드 메뉴, 치킨스테이크 & 커트렛, 햄버그스테이크, 비프 스테이크 & 씨푸드, 필라프, 도리아 & 그라탕, 스파게티, 피자, 디저트, 음료, 세트
    리포트 | 8페이지 | 1,500원 | 등록일 2020.06.21 | 수정일 2021.05.30
  • 연세대학교 기초디지털실험 4주차 예비레포트 (sequential logic)
    It is made by clock generator and can be represented as the square wave. ... The clock period is represented as sum of high duration and low duration, which is same with the time ... It is quite important for logic circuit, because digital circuit usually use the clock signal for synchronization.They
    리포트 | 6페이지 | 2,500원 | 등록일 2021.08.31 | 수정일 2022.12.15
  • 양자역학 에세이
    between clock 1 and 3. ... This is a ridiculous value, and to solve this problem, we will shrink the size of the clocks. ... So, the probability of finding a particle is (1/2)2=25%, and since there are also four clocks, it is
    리포트 | 14페이지 | 20,000원 | 등록일 2020.05.04 | 수정일 2022.03.14
  • 2018 컴퓨터 구조 과제 1 (chapter 1,2,3)- 단국대학교 소프트웨어학과
    Bob replies, the fastest Mac we have runs at a clock speed of 1.2Ghz. ... *Central Processing Unit(CPU)①Arithmetic-logic unit(CA)- AC and MQ(Accumulator and Multiplier Quotient ... 컴퓨터의 성능은 clock 속도로만 측정되지 않기 때문에 시스템의 구성요소에서 다른 요소(CPU(인텔 코어 i7,Xeon E5-2690), RAM(DDR3), 그래픽카드(엔디비아),
    리포트 | 5페이지 | 2,000원 | 등록일 2020.05.22
  • 국내 락 페스티벌의 몰락 (소논문)
    페스티벌인지, 아니면 미국의 락 페스티벌 코첼라Coachella Valley Music and Arts Festival를 벤치마킹 한 것인지에 대한 정체성의 혼란을 야기했다. ... 팬들로부터 양호한 반응을 얻어왔었고, 후자의 경우 디앤비Drum&Base위주의 밴드였기 때문에 관객들로부터 좋은 반응을 얻었다. ... Benjamin Booker, Alexandros, Foo Fighters, Motorhead, One Ok Rock, Rudimental, Twenty One PilotsFear and
    리포트 | 18페이지 | 2,000원 | 등록일 2021.04.29
  • 2-1. AMOLED Tooling Process & 막 두께 측정 report (A+)
    실험 이론- Vacuum & Chamber표 1. ... 실험 제목 : Tooling Process & 막 두께 측정2. ... 실험 목표- 진공의 이해 & Chamber의 사용법- Z factor & Density의 기본 원리 이해 및 Tooling값 계산- Alpha Step을 이용한 막 두께 측정3.
    리포트 | 9페이지 | 10,000원 | 등록일 2023.07.30 | 수정일 2023.08.18
  • 음성인식 도어락
    우연히 몸이 불편한 어르신께서 힘겹게 휠체어에서 몸을 일으켜 도어락의 키패드를 누르시는 모습을 보게 되었고 도어락의 단점에 대해 조사하게 되었습니다.신체가 온전치 못한 장애인분들에게 ... 창의공학작품의 개요대부분의 아파트 단지 및 주택에서 도어락을 사용하고 있습니다. ... {if ((key[0] == '1') && (key[1] == '3') && (key[2] == '5') && (key[3] == '7')){key_4bit_flag = 0;a=1;
    리포트 | 30페이지 | 3,500원 | 등록일 2023.09.23 | 수정일 2023.10.10
  • 서강대학교 21년도 디지털논리회로실험 8주차 결과레포트 (A+자료) - Shift Register, Multiplier, 4-digit 7-segment display
    S1과 S0는 4개의 and에 서로의 4가지 조합(00 01 10 11)을 각각 연결하고 있다. ... 먼저 크게 보자면 4개의 and와 4input or이 각 FF마다 하나씩 연결되어 있는 구조이다. ... 이는 MUX와 같은 구조로, S1과 S0의 조합에 따라 어떤 입력이 FF에 들어갈지를 선택하여 동작하는 것이다.가장 왼쪽 FF의 4개의 and gate 중에서 첫번째 gate는 shift
    리포트 | 33페이지 | 2,000원 | 등록일 2022.09.18
  • 운영체제 병행프로세스와 상호배제 레포트 과제제출 시험대비
    = I) && (waiting[j]) // 대기 중인 프로세스 찾음j = (i + 1) % n;if (j = i) //대기중인 프로세스가 없으면lock = false; //다른 프로세스의 ... key)key = TestAndSet(&lock);waiting[i] = false; //임계영역으로 진입//임계 영역//탈출 영역j = (i + 1) % n;while ((j ! ... Test-and-Set1.
    리포트 | 6페이지 | 1,000원 | 등록일 2023.03.08
  • D-latch,D-flip-flop,J-K-flip-flop 예비레포트
    clock cycle 동안 그 값이 여러번 변할 수 있다.플립플롭은 엣지 트리거에 의해서 동작한다. output은 clock transition에서만 변하고, 하나의 clock cycle ... 실험 목적(1) D latch and D flip-flop-study to construct D latch with NAND gates and inverter-study differences ... between latch and flip-flop-study some application circuits for latch and flip-flop(2) J-k flip-flop-test
    리포트 | 4페이지 | 1,000원 | 등록일 2022.08.21
  • 영어유치원 레슨플랜 1
    do and whattime they do so.Target Language: It’s ______ o’clock. ... A: It’s seven o’clock.New words:* Teacher suggests alternative ways to ask the time, and Ss practice ... read the clock.Target Language: What is the time?
    리포트 | 3페이지 | 1,000원 | 등록일 2021.04.02
  • Computer System ) 다중 프로세서 스케줄링을 요약하고 설명하는 보고서 작성
    In order to add the lock into your program, you should add a lock(&m) at the beginning and an unlock( ... If there is no lock in the setting, CPU1 will remove the first element A and CPU2 will be wasting its ... Locks atomically update the data structure to its updated state and enables the queue to run in a consistent
    리포트 | 5페이지 | 5,000원 | 등록일 2021.07.19
  • 11. 카운터 설계 예비보고서 - [아날로그및디지털회로설계실습 A+ 인증]
    따라서 1010 이 되는 순간 1 이 되는 Q4, Q2 Vdd 와 AND 연산 후 CLR 단자에 연결시켜주었다. ... 입력으로 들어가는 비동기 카운터와 입력 clock 신호가 모든 Flip Flop 의 clock 입력 신호로 들어가는 동기 카운터로 구분된다. ... 반면 동기 카운터 회로는 앞의 JK Flip Flop 의 출력에 따라 J, K 의 값을 조정할 때 필요한 AND gate 가 비동기 카운터에 비해.
    리포트 | 12페이지 | 1,000원 | 등록일 2022.11.16 | 수정일 2023.01.03
  • [명지대 ERP개론] MM모듈 CASESTUDY, CHALLENGE
    Create Request for Quotation공급업체에 견적 요청서를 생성하는 과정이다.Boomtown Tire & Wheel에 견적서를 요청하는 과정이다.Space Bike ... 그 정보들을 입력하는 과정이다.Boomtown Tire & Wheel의 가격은 26.00으로 입력하고, 입고 예정일 3달 뒤로 입력한다.Space Bike Composites의 가격은 ... 대변에 분개되었으나, IR/GR 3,200 | 매입채무 3,200으로 분개됐음을 확인할 수 있다.■ Step 21: Display/Review G/L Account Balances and
    리포트 | 35페이지 | 2,000원 | 등록일 2023.08.17 | 수정일 2023.08.24
  • (믿음, 자세한 설명 포함, 10가지 기능, 코드 전체 포함, 직접 작성한 코드, 확장성 좋은 코드)서울시립대학교 전전설2 10주차(Final) 결과레포트(코딩 매우 성공적, A+, 10점 만점 11점, 디지털 시계)
    piezo_step == 1) beginselect_sound = 0;endendelse if(piezo_step 270 && piezo_step == 3) beginseleT_DATA ... beginsleep = sleep + 1;if(sleep > 300) beginsleep = 0;piezo_step = piezo_step + 1;end//첫마디if(piezo_step 270 && ... Summarize experiment contents & purpose of this Lab ‥‥‥‥‥‥‥ 54나.
    리포트 | 117페이지 | 6,000원 | 등록일 2020.07.22 | 수정일 2020.11.08
  • 연세대학교 기초디지털실험 9주차 결과레포트
    prescalar outputs, after lowering the frequency of the clocks to reduce the count. ... SCLK is the clock signal line, SS is the Slave Select, which is the signal line for selecting the slave ... Use one SS line for one slave, and SCLK, MOSI, and MISO signal lines are common.mclk and heartbeat are
    리포트 | 6페이지 | 5,000원 | 등록일 2022.04.18
  • Tibia Interlocking IM Nail
    Gel-pi 사용Entry portal In AP view the entry point is in line with the axis of the intra- medullary canal and ... - Drill bit Ø4.2 - Depth(sleeve 없이 ) - Screw insertionPROXIMAL LOCKING Nail diameter Locking screws ... - N ail 의 AP 와 locking hole 을 일치 시킨다 C-Arm 을 보면서 Skin marking 후 incision(#15,mosquito)Distal locking
    리포트 | 16페이지 | 2,500원 | 등록일 2022.01.10
  • 영작 세종대왕 업적 배울점
    The invention of the "Chiljeongsan," an astronomical clock, and the "Jageukru," a water clock, are notable ... He oversaw the development of astronomical instruments, water clocks, and rain gauges, enhancing the ... literacy and education.
    리포트 | 2페이지 | 500원 | 등록일 2024.07.14
  • color_sensor_code
    &= ~PC7654_HIGH; // disable alternate function on PC7654_HIGHGPIO_PORTC_PUR_R &= ~PC7654_HIGH; // pull-down ... for port Adelay = SYSCTL_RCGC2_R;GPIO_PORTD_LOCK_R |= UNLOCK; // unlock GPIO Port DGPIO_PORTD_CR_R | ... for port Cdelay = SYSCTL_RCGC2_R;GPIO_PORTC_LOCK_R |= UNLOCK; // unlock GPIO Port CGPIO_PORTC_CR_R |
    리포트 | 12페이지 | 15,000원 | 등록일 2021.12.05
  • 임계영역과 임계영역을 해결하기 위한 방법
    while(TestAndSet(&lock))?????????//아무 일도 안함.?????????// 임계 구역???? lock = FALSE;????????// 나머지 구역?}? ... 프로세스는 임계구역에 접근하기 전반드시 락을 획득해야 하고 임계구역에서 빠져나올 때 락을 반환해야 한다. ... 프로세스 Pi의 구조do {Flag[i] = TRUE;turn = j;while (flag[j] && turn == j );// 임계구역flag[i] = FALSE;// 나머지 구역
    리포트 | 4페이지 | 2,000원 | 등록일 2020.03.09 | 수정일 2021.04.07
AI 챗봇
2024년 08월 30일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:41 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대