• 통큰쿠폰이벤트-통합
  • 통합검색(2,416)
  • 리포트(2,243)
  • 자기소개서(144)
  • 시험자료(19)
  • 논문(6)
  • 방송통신대(3)
  • 서식(1)

"NS실습" 검색결과 181-200 / 2,416건

  • 평생교육프로그램개발론 ) 평생교육 프로그램 개강 준비에 앞서, 위 강의 내용 외 추가로 준비해야 할 항목은 무엇인지, 필요없는 항목은 무엇인지 자신의 의견을 당위성 있게 자세히 기술하시오.
    수신확인12주차소통하기소셜미디어란, SNS활용을 위한 환경구축13주차sns 활용하기 1다양한 SNS 접해보기14주차sns 활용하기 2SNS 활동하기15주차메타버스의 이해메타버스란, ... 교육준비사항스마트폰, 필기도구교육방법이론과 실습을 동시적으로 진행하나 실습 위주로의 교육을 진행하며, 반복학습을 통해서 일상생활 속 스마트폰 활용을 잘 할수 있도록 지도한다.교육내용주제내용1주차스마트폰 ... 한다.교육내용스마트폰에 대한 기본적인 사용법에서부터 일상 생활에서 필수적일 수 있는 검색, 정보, 금융, 쇼핑, 교통, 업무처리 등과 같은 다양한 기능을 습득하고 스마트폰을 통해 직접 실습하는
    리포트 | 6페이지 | 5,000원 | 등록일 2023.04.30
  • 응급실 ER Hematemesis 토혈 케이스 A+ (간호진단 2개)
    ER case-Hematemesis-과목실습 장소실습 기간학번이름담당 교수제출 일시1. ... 가족력 : ns? 피부색 : pinkish? 과거력 : Hypertension? 수술력 : ns? 음주, 흡연 : ns? 알레르기 : ns? ... 소화기장애, 순환기장애, 요로계장애 : ns? 최근 해외 여행력 : ns? 통증, 욕창 : ns? 활동 상태 : 보조기착용? 의학적 진단(R/O) : Hematemesis3.
    리포트 | 12페이지 | 1,500원 | 등록일 2021.06.12
  • [예비보고서] 6.위상제어루프 (PLL)
    Small signal current gain은 Typ. 100~400이며, Delay time은 Typ. 35ns 미만이며Storage time이 Typ. 200ns 이하임을 확인했다 ... 예비 보고서설계실습 6. 위상 제어 루프(PLL)6-3. ... (답안)Green : 가변 발진기(VCO)의 파형으로, 실습5 전압제어 발진기에서 시뮬레이션 및 실습으로 확인한 파형과 유사함을 알 수 있다.
    리포트 | 8페이지 | 1,000원 | 등록일 2023.01.03
  • 성인간호학 실습 ) 식도암 esophageal cancer 케이스 스터디
    CASE STUDYEsophageal Cancer이름학번실습 기간실습 병원실습 병동□ 상황박00씨는 76세 기혼 남성으로 부인과 함께 살고 있다. ... 250(mucosten 10cc/h)-5DW stop-foley remove 해주세요-NS 250에 KCL 1@ mix-merop 1g q12h5/28-NS 100에 liveract ... 500mg q24hr-check BST #4, s/s by H5/22-check v/s q6hr, SpO2-NPO till next orders-TPN 40+5DW 40cc/h+NS
    리포트 | 7페이지 | 1,000원 | 등록일 2021.09.29 | 수정일 2021.12.03
  • 디지털 시스템 설계 및 실습 전감산기 설계
    z)|(~x&y&~z)|(x&~y&~z)|(x&y&z);assign B = (~x&y)|(~(x^y)&z);endmodule2) tb_MyFulladder.v`timescale 1ns ... 실습목적전감산기는 한 자리 이진수 뺄셈 시, 전가산기에서 더한 결과 캐리가 발생하는 것과 반대로 아랫자리에서 발생하는 빌림수를 고려해야한다. ... 또한 이 실습을 통해서는 if~else(Verilog) 또는 if~then~elsif~end if(VHDL) 형식을 배울 수 있다.2.
    리포트 | 3페이지 | 1,000원 | 등록일 2020.11.02
  • V-sim Eva Madison 케이스 성찰보고서
    아동간호학 실습V-SIM실습 기간실습지과목학번담당교수이름- Eva Madison -9. V-Sim 실습보고서 양식시나리오명: Eva Madison? ... 불능, 전날 8 PM 이후 소변 나오지 않는 증상 호 소하여 금일 7 AM 응급실 내원함.Body weight : 21.2kg → 20.5kg창백, 무기력, 점막은 dry응급실에서 NS ... 실습보고서는 시나리오별로 각각 작성합니다.Ⅰ. vSim: 시뮬레이션 상황 이해하기?
    리포트 | 4페이지 | 1,000원 | 등록일 2023.03.19 | 수정일 2023.03.23
  • A+받은 뇌졸증 케이스 스터디입니다. 진단2개 과정2개
    *문헌고찰 - 뇌졸중(cerebrovascular accident : CVA, stroke)최근 우리나라의 뇌혈관 질환 발생률은 중년 후반기부터 나이가 들어감에 따라 점차 증가하여 1년에 인구 10만 명당 약 75명이 뇌졸중으로 사망하며 50대 이후 사망원인의 1위를 ..
    리포트 | 14페이지 | 2,000원 | 등록일 2022.08.28 | 수정일 2022.11.08
  • [계측공학 및 실습]RC CAR 주행_최종발표
    계측공학 및 실습 RC CAR 주행 목차 1. 온도 보정 2. 압력 보정 3. 각도 변위 보정 4. 회전 수 보정 5 . GPS Data 처리 6. 결과 1. ... ( Pressure_kpa ); plot(time, Average_pressure,'r ') - 시간에 따른 압력의 변화 그래프 - 차압값 max( Pressure_kpa ) A ns
    리포트 | 32페이지 | 2,000원 | 등록일 2023.02.11
  • 간호학과 성인실습일지_응급중환자실_2주간 (총 10일) 실습일지
    보통 석션은 ns를 한번 통과하여 윤활제 역할을 하게끔 하고 석션을 진행하는데, 회진을 도시며 그 환자는 석션 시 ns사용을 턴바디를 할때도 손으로 대상자의 등을 마사지 하는 등의 ... 날짜실습시간실습장소실습부서응급중환자실실습내용관찰 내용- 병원균- 감염성 질환의 격리 절차- 접촉주의와 보호구 착용- 손위생이 필요한 다섯가지 시점수행 내용- 병원균1) VRSVancomycin-Resistant ... 하며 실제로 깨닫는 공부가 되는 시간이었다.임상실습 일지날짜실습시간실습장소실습부서응급중환자실실습내용관찰 내용- CRRT(지속적 신장 대체 요법) 의 원리- CRRT Anticoagulation수행
    리포트 | 28페이지 | 4,000원 | 등록일 2022.05.25 | 수정일 2024.06.01
  • 서강대학교 전자회로실험 - 실험 3. PSpice 사용법 및 시뮬레이션 실습 결과 보고서
    , fall time( : 3.676ns, 측정: 7.761ns, : 12.358ns결과에 대해 서술하세요.위 그래프를 통해, VPULSE에서 나오는 파형을 뒤집은 모양이 결과모양으로 ... PSpice 사용법 및 시뮬레이션 실습분반조학번이름[실험 1]1KHz의 주파수, 5V의 amplitude를 갖는 Vsin 전원을 사용하여 아래 회로를 구성하여, 0~10 ms 사이에 ... 기록하세요.VPULSE, 7404(inverter), 저항, 접지를 사용하였다.시뮬레이션 결과를 제시하세요.Rise time(, fall time( 측정Rise time( : 5.633ns
    리포트 | 12페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 논리회로설계실험 5주차 Encoder 설계
    위의 코드에선 for loop를 이용하여 input {d, c, b, a}를 10ns delay로 0000부터 1111까지 1씩 증가하게 하였다. ... 1) Objective of the Experiment(실험 목적)이번 실습은 4:2 Priority encoder를 behavioral modeling, dataflow modeling ... 이러면 모든 경우의 수를 입력 값으로 넣을 수 있다.4) Result(실행 결과)위에서부터 4개의 그래프는 4개의 input a, b, c, d의 wave이다. 10ns마다 input
    리포트 | 6페이지 | 3,000원 | 등록일 2023.09.11
  • 성인1 Case study_ER_Cerebral Infarction(간호과정 1개) [A+]
    1Case Study성인간호학실습Ⅰ제출일전공간호학과실습병동학번이름실습기간담당교수4) Case Study 양식관찰한 응급실 환자의 간호 생각하기 (도착시간으로부터 이루어진 진단과 치료 ... min, RR : 13회/min, BT 36.7℃, SpO2 : 98mg/dl)C/C : Dizziness 호소중증도 분류함 (KTAS 3단계)진행과정중증응급환자 진료구역으로 이동NS ... CT, non-contrast 진행진단 후 관리Bonaling-A 50mg Tab 1tab*1*1 의사지시대로 경구복용Ketas 10mg cap 1cap*1*1 의사지시대로 경구복용NS
    리포트 | 24페이지 | 1,000원 | 등록일 2023.12.12
  • 교수님한테 칭찬 받고 피드백 수정한 A+ 받은 허혈성 뇌졸중입니다
    사례연구 보고서허혈성 뇌졸중(Ischemic stroke)실습교과목담당교수실습장소실습기간학번, 반이름목차Ⅰ. 서론1. 대상자 선정 이유2. 허혈성 뇌졸중의 통계적 자료Ⅱ. ... 1capLanston LFDT tab 15mg 1tabPlaVITOR tab 75mg 1tabLipitor tab 40mg 1tabGlucophage 250mg 2회/d0.9% NS ... 1capLanston LFDT tab 15mg 1tabPlaVITOR tab 75mg 1tabLipitor tab 40mg 1tabGlucophage 250mg 2회/d0.9% NS
    리포트 | 33페이지 | 3,000원 | 등록일 2020.11.25 | 수정일 2023.07.25
  • 수술실사례보고서 - 복강경 위절제술 case study
    성인간호학실습복강경 위절제술(laparoscopic gastrectomy)과 목기 간실습장소교 수 님학 과학번/ 성명수술실 사례보고서1) 환자의 간호정보성명 : OOO성별 : M연령 ... NS 50ml, Heparin 5000U + NS 1L,Phenylephrin 10mg + NS 50ml, Plasmalyte 1L동맥, 정맥 확보■ Peripheral vein ... 기타마취제 : Freefol 150(80mg), Ultian 2mg + NS 40ml, Fentanyl 0.1mg근이완제 : Rocumeron 50mg기타 : Dopa 200mg +
    리포트 | 10페이지 | 2,500원 | 등록일 2022.04.06
  • 성인간호학 실습 뇌수막종 케이스(neoplasm of meningioma)
    성인간호학 실습(2)[ Neoplasm ofcerebral meninges ]날짜2022.11.17과목명성인간호학 실습(2)담당 교수소속학번, 이름, 역할[ 목차 ]Ⅰ. 서론ⅰ. ... 간호문제번호간호문제선정근거1수분 전해질 분균형- NPO- NS 20cc/hr + TPN 40cc/fr2영양 불균형- NPO- NS 20cc/hr + TPN 40cc/fr- WINUF ... 사례선정이유실습 전 성인간호학 이론 시험에서 제일 최근에 배운 내용이 신경계와 관련된 내용이어서 배운 내용을 복습하는데 많은 도움이 되었다.
    리포트 | 21페이지 | 2,500원 | 등록일 2023.10.22
  • vSim Sabina Vasquez 학습성찰보고서
    임상실습 텀 : 이름 : 학번 :vSim을 이용한 사례기반 상황실습 후 ‘학습성찰’을 1~2페이지 내로 작성하여 제출하세요.대상 환아는 어떤 상황(문제)에 처해 있었는지 기술하세요.환아는 ... PO로 4~6시간 마다 환아의 열이 38.6oC이상이면 투여하였다.- Acetaminophen은 진통, 해열제이다.(4) Albuterol을 nebulizer로 2.5mg을 2ml NS로 ... 실습을 나갔을 경우 현장감은 있지만 실제로 간호사 선생님들이 어떠한 처치를 하는지 알지 못하는 경우가 다반사이다.
    리포트 | 2페이지 | 1,000원 | 등록일 2022.03.03
  • 간호과정(UTI,Urinary tract infection)및 문헌고찰 Vsim 시뮬레이션 성인간호학 (NS)
    2020학년도 2학기성인간호학6 실습NS Case Study실습 기간2020년 11월 2일(월)~2020년 11월 6일 (금)실습지과목성인간호학실습6학번담당교수이름Urinary tract ... 6 (NS)실습기간2020.11.02(월)~ 2020.11.06(금)학번이름가. ... 통증을 감소시킴쇼크, 과민증상, 호흡곤란, 발한, 저혈압, 구역, 구토, 식욕부진 등감기로 인한 발열 및 동통, 두통, 신경통, 근육통, 월경통, 염좌통KClIV fluidsD5 ½ NS
    리포트 | 27페이지 | 2,500원 | 등록일 2021.04.29
  • 부산대학교병원 소화기병동 담낭암케이스스터디
    Case Studygall bladder cancer학교로고실습 병동부산대학교병원 / 소화기내과 --w실습 기간------------------담당 교수--------교수님학 번-- ... 통증사정통증위치원인사정일자,시간양상기간 및 빈도NRS 점수중재배확인불가11.07 19:10찌르다간헐적2배확인불가11.08 20:00찌르다간헐적6paceta 1G /c NS 100ml ... 11:59찌르다간헐적6paceta 1G /c NS 100ml IV전신확인불가11.10 12:59찌르다간헐적3전신확인불가11.10 17:10찌르다간헐적5ultracet ER 650/
    리포트 | 16페이지 | 2,500원 | 등록일 2024.07.04 | 수정일 2024.07.12
  • 간호관리학 인수인계,근무표 작성 척추수술후증후군
    간호관리학 임상실습인수인계 & 근무표실습 기관0000병원실습 기간2024.0.0 ∼ 2024.0.00학번 / 반0000 / 0이름000제출일2024.00.00실습지도 교수000 교수님1 ... interthecal morphine pumpimpiantation기타: 퇴행성추간판질환② 입원한 경험: □ 없다 ■ 있다이유 2018. 12 ~ 2019. 03 FBSS(본원 NS
    리포트 | 4페이지 | 3,000원 | 등록일 2024.08.20
  • OS, NS 전문병원용 수술실신규간호사 체크리스트
    ※ Local OP scrub① NS시술명들 구분하기. ... 업무파악⑧ 환자 맞이하기⑨ 토니켓작동법, Bovie작동법⑩ Culture 보내기, Bx 받기, Bx slip 쓰기셋째주※ NS Local scrub① NS시술명들 구분하기. ... Observation 및 실습④ 원장님별 procedure알기? Observation 및 실습⑤ 환자 상태 확인 할 줄 알기? monitoring 방법& 정상수치 확인방법?
    리포트 | 6페이지 | 1,000원 | 등록일 2021.05.18
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:04 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대