• 통큰쿠폰이벤트-통합
  • 통합검색(2,416)
  • 리포트(2,243)
  • 자기소개서(144)
  • 시험자료(19)
  • 논문(6)
  • 방송통신대(3)
  • 서식(1)

"NS실습" 검색결과 121-140 / 2,416건

  • 중앙대 전자전기공학부 전기회로설계실습 2020년 2학기 A+ 자료 설계실습 8. 인덕터 및 RL회로의 과도응답
    전기회로 설계실습 결과보고서설계실습 8. 인덕터 및 RL회로의 과도응답1. ... Offset 전압만큼 2개의 그래프 파형 모두 y축 방향으로 내려옴을 알 수 있다.2.4 낮은 주파수에서의 RL회로 파형2.1의 실험에서 오실로스코프의 Cursor 기능으로 시정수 520ns ... Wave-Square ; Freq=10kHz ; Amp= 0.5Vpp; Offset=0.25V)이때 1.60V의 0.632%에 해당하는 1.01V 부근, 1.12V에서 시정수를 측정한 결과 520ns
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.31
  • NS case study 전이성 뇌종양 (Metastatic brain tumor)
    학번이름실습지(병동)해운대백병원 NS실습기간AssessmentA.
    리포트 | 15페이지 | 2,000원 | 등록일 2020.06.25
  • 패리티체크 verilog 설계
    실습에서는 데이터 오류를 검사하는 데 사용되는 패리티 비트에 대해 알아본다.실습 내용실습결과Verilog, VHLD설계1. ... (^data)};endendtaskalways @ (data_in) begincheck(data_in,error);endendmodule`timescale 1ns/10psmodule ... 제목패리티 검사기 설계실습 목적패리티 비트는 데이터 전송 도중 오류가 발생했는지 검사하는 데 사용된다.
    리포트 | 2페이지 | 2,000원 | 등록일 2020.12.19
  • 서울시립대학교 전전설2 3주차 결과레포트(코딩 성공적, A+, 10점 만점 11점)
    a에 b값이 대입되고, 그 뒤 5ns가 지나 15ns에 c에 a의 값이 대입되게 된다. ... 2이번 실습은 Gate Primitive 를 이용하여 실습 1을 반복하는 실습이었다. ... 주석2"[2]우선 wire과 reg의 차이를 보이기 전에 blocking과 non-blocking에 대해서 알아보자.첫 번째 경우는 수행이 blocking으로 이루어지게 된다.10ns
    리포트 | 23페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.16
  • 간호 윤리적 딜레마(실습 중 겪었던 윤리적 딜레마)
    하루종일 적용하는 억제대NS 병동에서 실습한 적이 있었다. NS 병동인 만큼 의식이 혼미하거나, 치매가 있으신 환자분들이 꽤 많으셨다. ... 이번에 임상 실습으로 나간 병동에선 인격 모독에 가까운 태움을 볼 수 있었다. 신규 간호사 선생님이 오셨던 시기에 실습을 나가게 되었는데, 안타까운 일이 있었다.
    리포트 | 2페이지 | 2,000원 | 등록일 2022.08.02
  • SR-FF/JK-FF
    논리회로 및 실습결과 레포트1. 제 목 : SR-FF , JK-FF 실습2. ... 이것은 .r(r).s(s).clk(clk).q(q).nq(nq)의 식을 보고 알수 있습니다.그리고 clk을 3ns주기로 값을 무한으로 반복해주면서 주었고 s,r의 초기값을 0 0으로 ... 이것은 .j(j).k(k).clk(clk).q(q).nq(nq)의 식을 보고 알수 있습니다.그리고 clk을 5ns주기로 값을 무한으로 반복해주면서 주었고 s,r의 초기값을 0 0으로
    리포트 | 7페이지 | 1,000원 | 등록일 2021.01.11 | 수정일 2021.01.13
  • 디시설, 디지털시스템설계 실습과제 11주차 인하대
    좀 더 연습이 필요할 것 같다.디지털시스템설계 실습 11주차 과제 ... 따라서 32비트 CLA의 critical path delay는 10ns – 2.585ns = 7.416ns 가 된다.결과적으로 parameter를 사용해서 구현한 32비트 CLA의 ... 따라서 4비트 CLA의 critical path delay는 10ns – 3.328ns = 6.672ns 가 된다.32비트 CLA의 경우 LUT 와 Flip Flop, IO포트의 Utilization이
    리포트 | 9페이지 | 1,500원 | 등록일 2021.08.31
  • 디시설, 디지털시스템설계 실습과제 9주차 인하대
    의미를 정확하게 파악할 수 있었고 코드들을 behavior model로 작성하는 것이 더 어렵다기 보다는 오히려 동작을 직관적으로 이해할 수 있다는 것을 느꼈다.디지털시스템설계 실습 ... 그리고 100ns 후에 4비트 din 신호 1111과 load신호 1을 입력해주고 30ns후에 load를 다시 0으로 바꿔준다. ... 파라미터로 4를 사용해 4비트 출력을 받도록 했다. clk신호는 20ns마다 0과 1을 출력하도록 설정했고, serial 입력 sin 은 30ns 마다 0과 1을 출력하도록 설정했다
    리포트 | 6페이지 | 1,500원 | 등록일 2021.08.31
  • A+급성경막하혈종(SDH)케이스스터디+EVD
    Craniectomy (NS)23. 03. 29. ... 실습병동 SICU 환자이름 한** 나이 87성별 F 입원(실)일 23. 03. 27 퇴원일 - 진단명 Traumatic Subdural hemorrhage, without open
    리포트 | 16페이지 | 7,000원 | 등록일 2024.05.07
  • 디지털 시스템 설계 및 실습 패리티검사기 설계 verilog
    data;endendtaskalways@(data_in)begincheck(data_in, error_out);endendmodule2) tb_parity.v`timescale 1ns ... 실습목적패리티 비트는 데이터 전송 도중 오류가 발생했는지 검사하는 데 사용된다. ... 실습과정 & 실습화면4. 검사한 데이터입력데이터결과000*************001000000100111010000010101000011111000000101010001011
    리포트 | 3페이지 | 1,500원 | 등록일 2020.11.02
  • 수술실 실습일지 (2주치), 실습소감
    현장실습일지성 명학 과간호학과학 번직무명간호학생실습기간실습기관명현장지도담당자(서명)구분실습 직무(직무유형에 따른 교육 및 실습내용)실습내용1주월특수파트는 첫 실습이라 정말 떨리는 마음으로 ... NS에서는 intersubdural hemorrhage 진단을 대상으로한 cranioplasty 수술을 관찰하였다. ... 수술 과는 GS, NS, 다빈치 로봇수술, BE, DN, PS, CS, EN, GY, UR, OS, SC, EY로 이루어져 있었고 총 수술 방은 15개가 있다.
    리포트 | 6페이지 | 1,500원 | 등록일 2019.10.02 | 수정일 2019.11.04
  • 성인간호학 실습 응급환자 경험보고서
    응급실 환자 경험 보고서-성인간호학 실습 Ⅲ-■ 교과목명:■ 실습기관:■ 실습기간:■ 제출일자:■ 지도교원:■■■ 성명:1) 응급실 환자의 일반적인 문제를 조사한다.흉막삼출액- 흉막 ... 골절이다.- 증상은 통증, 압통, 변형, 부종, 근육경련, 감각변화 등이 나타난다.- 치료는 정복하거나 고정, 재활한다.2) case study : 응급실 환자 경험 보고서(2case)실습일 ... 하지만 시술을 하고 난후 산소를 투여한 후에는 숨을 쉬기 편안해 하셨다.# case 1실습일 : Duty :학생이름 :환자성명 : 최OO 나이 : 39 성별 : M 직업 :진단명 :
    리포트 | 10페이지 | 4,000원 | 등록일 2021.06.22 | 수정일 2021.06.26
  • 성인간호학 CASE STUDY SAH 지주막하출혈 간호과정4개
    내가 실습한 ICU는 외과계 중환자실로 NS 환자가 대부분이라고 하셨다. ... NS 환자를 볼 기회가 이제껏 한 번도 없었기 때문에 첫 날부터 NS에 관심이 많이 있었는데, 마침 내가 실습을 시작한 같은 날에 입원을 한 NS 환자가 있어서 유심히 살펴보는 도중에 ... 제목 : 'SAH' case study● 실습병원 :● 실습병동 : 제1ICU● 실습기간 :● 교 수 님 :● 학 번 :● 이 름 :● 제 출 일 :< 목차 >Ⅰ. 서론1.
    리포트 | 22페이지 | 2,000원 | 등록일 2019.10.12 | 수정일 2019.10.20
  • BCD가산기 verilog 설계
    always @ ( A or B )beginmid_sum = A + B;if (mid_sum > 9)mid_sum = mid_sum + 6;endendmodule`timescale 1ns ... 이 실습에서는 BCD로 입력되는 두 수를 더한 2진 결과를 다시 BCD로 출력하기 위해 BCD로 변환하는 과정을 실습한다.실습 내용실습결과Verilog설계- BCD 가산기의 Verilog ... 제목BCD 가산기 설계실습 목적BCD는 디지털에서 사용하는 2진 코드를 이용해 10진수를 표현한 값이다.
    리포트 | 2페이지 | 2,000원 | 등록일 2020.12.19
  • A++ 성인건강간호학 Burn(화상)환자 Case Study(간호진단6개 간호과정6개) 우선순위, 수행/평가까지 포함
    있으며, Dressing가기 전, Post-OP로 Morphine 0.4mL/2mg+N/S 50mL mix하여 IV로 제공하고 있다. prn으로 38도 이상 시 칼도롤 0.75mL+NS ... ~38.1도로 측정되어 브루펜 t.i.d로 처방되어 있는 것을 예정 시간보다 일찍 투약하였으며, 이후 담당 주치의에게 noti한 결과, dressing 전 morphine 2mg+NS ... 교육 내용을 적절히 수행하고 있는지 확인한다.1. (15분 후)몰핀 2mg+NS 500ml mix IV 후 NRS 7점으로 측정되었으며 울음이 줄어들었다.2. (30분 후) NRS
    리포트 | 10페이지 | 3,000원 | 등록일 2023.03.25
  • SR래치, NOR
    논리회로 및 실습결과 레포트1. 제 목 : SR 래치 (NOR) 구현2. 내 용 :실습한 내용이번 실습에서는 nor 게이트를 이용한 sr-latch를 해보았습니다. ... 그리고 이 값들에다가 Q와 NQ값을 넣어주고 각각을 R값과,S값으로 XOR 해줍니다.테스느 벤치 코드로는 1ns 간격으로 해주었고 s,r값을 레지스터로 선언하고 wire 값으로는 q
    리포트 | 5페이지 | 2,500원 | 등록일 2021.01.11 | 수정일 2021.01.14
  • 클럭분주회로설계 verilog 설계
    배운다.실습 내용실습결과Verilog, VHLD설계1. ... count+19'b0000000000000000001;S1:count= count -19'b0000000000000000001;endcaseendmodule`timescale 1ns ... 제목클럭 분주회로 설계실습 목적많은 디지털 회로에서 클럭을 분주하여 사용한다.
    리포트 | 2페이지 | 2,000원 | 등록일 2020.12.19
  • 간호관리학 시간관리 레포트
    준비 및 실습에 집중하기(정신제집) ... 활동17:00-18:30과제21:30-23:30드라마 시청18:30-19:30저녁식사23:30-04:00sns 활동19:30-20:00sns 활동04:00취침20:00-21:30토익공부21 ... -18:30방정리12:30-13:00점심식사18:30-19:30저녁식사13:00-14:00휴식 & 방정리19:30-20:00과제14:00-17:00온라인 강의20:00-21:30sns
    리포트 | 10페이지 | 2,000원 | 등록일 2023.08.10
  • 실습생이 직면할 수 있는 문제점과 대처방안 할인자료
    업무 계획, 그리고 과제 활용, 직접 관찰, 사례자문과 더불어 sns의 활용, 역할극 및 역할시연 등 다양한 방법을 포괄하는 실습지도를 계획하고 진행하는 것이 필요로 해보여진다. ... 말할 수 있는 것이 학생들이 경험하는 실습에서의 어려움에 대한 구체적인 내용이 바로 실습 시작전에 지식부족이나 자신감 저하 등으로 막연한 불안과 긴장 그리고 실습중에는 실습환경, ... 실습생이 직면할 수 있는 문제점과 대처방안1.서론오늘날 실습생이 사회복지사가 되기 전 기관에 가서 실습을 하는 이유는 실제 실천현장의 문제해결 과정에 사회복지가치 및 윤리강령의 내용
    리포트 | 2페이지 | 4,900원 (5%↓) 4655원 | 등록일 2023.01.20
  • 논리회로설계실험 7주차 Flip flop 설계
    맨 위의 그래프는 CLK의 그래프로 10ns 마다 1->0, 0->1로 변한다. ... 주어진 skeleton code에서 clk는 10ns 마다 1->0, 0->1로 변한다. ... 이번 실험에서는 두 가지 모델링 방식인 Behavioral modeling과 Structural modeling 방법으로 JK flip flop과 T flip flop을 구현하는 실습
    리포트 | 6페이지 | 3,000원 | 등록일 2023.09.11
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:09 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대