• 통큰쿠폰이벤트-통합
  • 통합검색(495)
  • 리포트(460)
  • 논문(22)
  • 시험자료(6)
  • 기업보고서(3)
  • 방송통신대(2)
  • 서식(1)
  • 이력서(1)

"Register" 검색결과 181-200 / 495건

  • 실험6결과.Shift.Register&Counter
    Circulating Shift Register그림 SEQ 그림 \* ARABIC 4. ... - 이 실험은 J-K F/F을 이용한 Shift-right Register의 회로를 실제로 만들어보고 결과를 확인해보는 실험이었다. ... 실험 결과Shift RegisterParallel In/Serial Out회로 SEQ 회로 \* ARABIC 1. 6-bit Shift-right Register그림 SEQ 그림 \
    리포트 | 7페이지 | 1,000원 | 등록일 2011.06.27
  • Ch13. 시프트 레지스터
    TTL 74164(Shift Register)- 입력단을 잠시 ‘0’으로 함으로써 레지스터 내용을 clear 할 수 있다. ... 이론(1) 데이터의 입출력 방식1) 직렬 입력-직렬 출력 시프트 레지스터(Serial in-Serial out Shift Registers)- 데이터를 직렬로 받아들려 직렬로 처리한다 ... & Universal Shift Register)- 좌우 양방향 시프트 레지스터를 양방향 시프트 레지스터라고도 하며, 오른쪽 그림과 같다.이것은 기본적으로 4개의 D형 플립플롭과
    리포트 | 6페이지 | 2,000원 | 등록일 2008.01.08
  • 실험 6. 시프트레지스터와 카운터
    양방향 이동(Bidirectional)또한 쉬프트 레지스터를 구성하는 플립플롭의 수에 따라 그 수가 4개면 4bit shift register, 8개면 8bit shift register라 ... 이 론◆ 시프트레지스터(shift register) & 링카운터(ring counter)? ... 시프트레지스터와 카운터(Shift Register & Counter)1. 실 험 목 적시프트 레지스터와 링 카운터의 동작 원리와 특성을 이해한다.
    리포트 | 15페이지 | 2,000원 | 등록일 2009.03.10
  • 실험 6. 시프트레지스터와 카운터
    시프트레지스터와 카운터(Shift Register & Counter)1. 실 험 결 과실험 1. ... Shift Register는 7496 집적회로를 이용해서 입력된 신호가 좌우로 끝까지 shfting 되었을 경우 reset이 되는게 아닌, 계속해서 원을 그리듯 동작을 하는 회로이다 ... Circulating Shift Register - Truth Table◆ 실 험 결 과Shift PulseL0L1L2L3L40LLDDD1DLLDD2DDLLD3DDDLL4LDDDL5LLDDD6DLLDD7DDLLDCirculating
    리포트 | 17페이지 | 2,000원 | 등록일 2009.03.10
  • [아주대] 논리회로실험 7장 예비(Shift Register)
    Experiment 7 Shift RegisterOBJECTIVES- 실험을 통해 시프트 레지스터의 특성과 동작 원리를 이해하고 flip-flop소자를 이용해 구현해보아 원래의 시프트 레지스터와 비교해본다.RESUME OF THEORY시프트 레지스터는 저장된 데이터를 ..
    리포트 | 6페이지 | 2,000원 | 등록일 2013.09.25
  • [아주대] 논리회로실험 7장 결과(Shift Register)
    하지만, Circulating Shifting Register가 아니므로 클럭펄스가 변해도 마지막에는 모든 출력이 0으로 나타난다. ... 하지만, Circulating Shifting Register가 아니므로 clock pulse가 변해도 마지막에는 모든 출력이 0으로 나타난다. ... 회로도실험1에서 플립플롭소자를 이용하여 시프트 레지스터를 구성하고 데이터의 저장과 이동을 살펴보았다면 2번 실험에서는 직접 시프트 레지스터 소자인 74HC96소자(5bit Shift Register
    리포트 | 6페이지 | 2,000원 | 등록일 2013.09.25
  • 논리회로 실험 시프트레지스터와 카운터
    시프트레지스터와 카운터 (Shift Register & Counter)Ⅰ. 목 적시프트 레지스터와 링 카운터의 동작 원리와 특성을 이해한다. ... 이 론1) 시프트레지스터(shift register) & 링카운터(ring counter)레지스터는 일종의 데이타 기억 소자이다. ... SERIAL IN / PARALLEL OUT2.Circulating Shift Register3. 5진 링카운터4.
    리포트 | 17페이지 | 1,500원 | 등록일 2010.03.20
  • 기초회로실험 카운터, 시프트 레지스터 결과보고서
    기초회로실험(2분반) 2조 결과보고서 1(제 9회)실 험 주 제Flip-Flop을 이해하고, 이를 응용하여 Counter, Shift-Resister 등의 순차논리회로의동작을 이해한다.제 출 일 시학 번 / 이 름담 당 교 수담 당 조 교실 험 내 용1. 실험1)스위치..
    리포트 | 3페이지 | 1,000원 | 등록일 2012.12.03 | 수정일 2013.11.09
  • assembly code 및 hand assemble 이해- register, ALSU, handassemble
    A, Blda, ldb, ex : in std_logic; -- lda : load reg1 register-- ldb : load reg2 register-- ex : load ... isport (reset : in std_logic;clk : in std_logic;input : in std_logic_vector(7 downto 0); -- input of register ... architecture alsUnit_arch of alsUnit issignal reg1, reg2, AC : std_logic_vector(4 downto 0) := "00000"; -- register
    리포트 | 1,500원 | 등록일 2014.04.25
  • 컴퓨터구조(assembly, pcspim) 어셈블리 코드 및 코드 실행중 단계별 레지스터, 메모리 변화 과정
    1. 명령어를 단계별로 수행하면서 $sp, $a0, $a1, $a2, $a3, $s0, $s1, $t0, $t1, $t2, $v0, $ra, 스택메모리의 변화과정을 표로 작성(data segment(메모리영역)에서 관찰)main :addi$s0, $zero, 1add..
    리포트 | 13페이지 | 2,000원 | 등록일 2016.07.17 | 수정일 2017.05.04
  • shift, counter register 실험보고서
    Shift, Counter register란?Register란? ... - Flip-flop를 모아놓은 것(4bit Register = 4개의 FlipFlop를 모아놓은 것)그림의 경우 4bit Shift Register이며, 그림과 같이 clock이 ... 대해 실험을 했는데, 이 실험에서 사용되는 회로는 7495 shift register를 사용했는데 shift register란 저장되어 있는 이진 정보를 단방향 또는 양방향으로 이동시킬
    리포트 | 7페이지 | 2,000원 | 등록일 2011.11.21
  • 디지털실험 12. 13결과 쉬프트 레지스터, 비동기 계수기
    디지털 실험 결과보고서실험 12. 쉬프트 레지스터와 카운터13. 비동기 계수기실험 결과12.1. SN7474를 이용하여 회로를 구성하고 저장값을 0으로 만든후 1011의 입력을 입력시키며 출력을 관찰하라.실험의 회로이다. 책의 실험 방법에는 프리셋 단자에 1을 주는 것..
    리포트 | 4페이지 | 1,000원 | 등록일 2014.09.30
  • 레지스터
    레지스터(Register)어떤 계산을 하기 위해선 그 계산할 값들이 들어갈 장소가 필요하다. CPU 안의 작은 방을 바로 레지스터라는 곳이라 생각하면 되는 것이다. ... )어드레스를 계산할 때 이용되는 레지스터로서 명령 어드레스를 변경하거나 Index를 이용할 때 변경분(증분)을 기억하고 있다.6) 상태 레지스터(status register)중앙 처리 ... (sign), 오버플로우 V(overflow), 캐리 C(carry), 인터럽트 I(interrupt) 등의 발생 여부를 나타내는 특수 목적 레지스터(special purpose register
    리포트 | 2페이지 | 1,000원 | 등록일 2001.05.23
  • [디지털시스템실험(Verilog)] Register 예비보고서
    설계이번 실험에서 구현해야 할 General purpose register는, 32bit register 16개와 적당한 Combinational logic을 연결하여 만들어진다.register가 ... 선택하여 결과값을 출력하도록 하는 것이 General purpose register의 설계 목적이다.위의 회로도는 register file의 상세 구조이다. ... 디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 예비 보고서학부 : 학번 / 이름 :실험조 : 실험일 :실험제목PICO Processor - Register실험목표①
    리포트 | 3페이지 | 1,500원 | 등록일 2011.10.05
  • verilog를 이용한 shift Register ,SIPO ,SISO
    200824565 이창준8Bit Shift-Resister series-in, series-outsourcesimulationS0에서 출력이 입력된 신호의 젤 오른쪽 비트에서 출력을 뽑아내므로 클럭 주기가 지날 때 마다 0 0 1 1 1 1 0 1신호가 출력 후에 처음..
    리포트 | 3페이지 | 1,000원 | 등록일 2010.11.11
  • [Flowrian] Register File의 Verilog 설계 및 시뮬레이션 검증
    본 문서의 Register File은 8 비트의 데이터를 8개 저장할 수 있는 메모리로서 8 비트 레지스터 8개와 데이터를 쓸 레지스터를 선택하는 always 구문과 데이터를 읽을
    리포트 | 13페이지 | 1,500원 | 등록일 2011.09.06
  • [Ayeun] 마이크로프로세서응용 3주차 예비보고서 마프(ATmega128 I/O PORT,DDRX,PORTX,PINX레지스터,SINK,SOURCE 전류)
    DDRx(Data Direction Register) 레지스터로 데이터의 방향을 결정한다.(0=input, 1=output)2. x는 포트 A~G를 뜻한다.3. ... =0 내부 풀업저항 사용 안함 이다.PINA에 N/A로 되어 있는 이유는계속해서 핀에 걸려있는 값으로 갱신되므로 초기값을 줄 필요가 없기 때문이다.명칭에서도 녹색의 사각형을 보면 Register라고
    리포트 | 10페이지 | 1,000원 | 등록일 2018.12.22
  • [Flowrian] 4 Bit Shift Register (TTL 7495)의 Verilog 설계 및 시뮬레이션 검증
    - TTL 7495 회로는 4 비트의 데이터를 입력받아 한쪽 방향으로 시프트 시키는 레지스터 이다.- TTL 7495 회로는 아래 기능표와 같이 동작한다.* 입력 S='1' 이면 클럭 CP2의 하강에지에 동기되어 외부에서 제공되는 4비트 데이터를 입력 받아 레지스터에 ..
    리포트 | 7페이지 | 1,000원 | 등록일 2014.05.28
  • 실험6예비[1].Shift.Register&Counter
    이 론Shift Register와 Ring CounterShift Register란?레지스터란 데이터를 일시적으로 모아두는 일종의 기억소자이다. ... 이러한 Shift Register의 동작은 데이터의 전송이나 저장 분야에 다양하게 이용된다.그림 SEQ 그림 \* ARABIC 1. 4bit 직렬입력/직렬출력 shift registerRing ... 이 레지스터의 2진수 정보를 단방향 또는 양방향으로 이동시킬 수 있도록 만든 것을 Shift Register라고 한다.
    리포트 | 11페이지 | 1,000원 | 등록일 2011.06.27
  • 유니스터디 이벤트
AI 챗봇
2024년 10월 02일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:36 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감