• 통큰쿠폰이벤트-통합
  • 통합검색(495)
  • 리포트(460)
  • 논문(22)
  • 시험자료(6)
  • 기업보고서(3)
  • 방송통신대(2)
  • 서식(1)
  • 이력서(1)

"Register" 검색결과 101-120 / 495건

  • 논리회로실험) register/ shift register 예비보고서
    예 비 보 고 서9주차실험 8 : register / shift register1. ... 그럼 이동에 관련하는 shift register에 대해 알아본다.2) shift register ( 쉬프트 레지스터 )- register 중 이동에 관련하는 register를 shift ... 이번 실험에서는 Register와 shift register, ring counter를 사용한다.1) Register (레지스터)- Flipflop가 갖는 1비트의 저장 용량을 여러
    리포트 | 3페이지 | 2,000원 | 등록일 2014.01.06
  • 시프트레지스터와 카운터
    시프트레지스터와 카운터 Shift Register & Counter)1. ... 이론1) 시프트레지스터(shift register)종류 : 시프트 레지스터는 직렬 입력, 병렬 출력 (SIPO)와 병렬 입력, 직렬 출력 (PISO) 형태를 포함하여 직렬과 병렬의
    리포트 | 20페이지 | 1,000원 | 등록일 2010.12.20
  • 논리회로실험. 실험7. Shift Register
    ↓역시 한 칸씩 이동되어 Q1과 Q2가 켜진 것을 확인할 수 있었다.실험 결론 및 의의이번 실험에서는 이전 실험에서 익힌 J-K 플립플롭의 연속적인 연결으로 구성된 Shift Register
    리포트 | 13페이지 | 2,000원 | 등록일 2015.12.21 | 수정일 2016.06.02
  • 디지털실험및설계 예비(시프트 레지스터)
    디지털 논리실험 및 설계#3 시프트 레지스터 (예비)담당교수님 : 교수님제출일자 : 2015. 03. 30조 :학번 :이름 :1. 실험 이론순서논리회로의 기본적인 응용회로가 되는 시프트 레지스터, 링 카운터, 존슨 카운터, 의사 불규칙 이진수열 발생기 등이 있다. 레지..
    리포트 | 8페이지 | 1,500원 | 등록일 2015.12.05
  • [예비]실험7. Shift Register
    Shift Register?실험목적1. 실험에 사용하는 74HC76과 74HC96의 IC의 특성을 파악하고 Shift Register의 동작 원리와 특성을 이해한다.?실험이론? ... 74HC96(5-Bit Shift Register)④실험과정 및 예상 결과? ... Shift Register- n개의 플립플롭을 연결하면서 n비트의 데이터를 표시하는 시프트 레지스터를 구성할 수 있다.
    리포트 | 5페이지 | 1,500원 | 등록일 2013.09.28
  • 실험17 쉬프트 레지스터 결과
    결과보고서(실험 17. 쉬프트 레지스터)정보통신공학부학번 : 2010044011이름 : 정 지 원◇실험목적- 쉬프트레지스터의 구조와 동작원리를 이해한다.- 쉬프트레지스터를 이용한 카운터의 동작을 이해한다.(1) SN7474를 이용하여 의 회로를 구성하라. 먼저 PRES..
    리포트 | 5페이지 | 1,000원 | 등록일 2014.09.29 | 수정일 2018.10.15
  • Multiplexer, Decoder, Register
    Register(1) Register- Register는 Flip Flop의 집합이며, 이 Flip Flop이라는 것은 각각 1bit의 정보를 저장할 수 있는 것의 의미한다. ... 이런 의미에서 Register라는 것은 최소 1bit 단위로 정보를 저장 혹은 수정할 수 있다.(2) Register의 회로도- Register는 Flip Flop의 집합이므로 여러 ... 그 중 간단한 D Flip Flop을 이용한 4bit Register를 그리겠다.(3) Register의 진리표- Register는 입력된 데이터를 저장하는 장치로 별다른 진리표가
    리포트 | 4페이지 | 1,000원 | 등록일 2010.09.15
  • 디지털실험 - 실험 12. 쉬프트 레지스터 결과
    *결과보고서*12주차실험 12. 쉬프트 레지스터조13조회로도 구성입력 D = 1, 0번째 클럭입력 D = 1, 1번째 클럭입력 D = 1, 2번째 클럭입력 D = 1, 3번째 클럭입력 D = 1, 4번째 클럭입력 D = 0, 1번째 클럭입력 D = 0, 2번째 클럭입력..
    리포트 | 6페이지 | 1,500원 | 등록일 2017.04.02
  • 디지털실험 - 실험 12. 쉬프트 레지스터 예비
    양방향 이동(Bidirectional)또한 쉬프트 레지스터를 구성하는 플립플롭의 수에 따라 그 수가 4개면 4bit shift register, 8개면 8bit shift register라 ... .- 원 리플립플롭이나 래치는 가장 기본적인 기억소자이며 계산기내에서 수치나 명령 등의 정보를 일시 기억해 회로로 사용되며 멀티비트를 저장할 수 있는 플립플롭을 레지스터(register ... 한다.1) 우 쉬프트 레지스터플립플롭에 기억된 정보를 클럭펄스에 의하여 오른쪽으로 이동시킬 수 있는 레지스터를 우쉬프트 레지스터(right shift register)라 한다.
    리포트 | 19페이지 | 1,500원 | 등록일 2017.04.02
  • 논리회로실험 결과보고서7 Shift Register
    Shift Register실험 과정 및 결과Part 1. 6-bit Shift Right Register74HC00과 74HC76으로 만든 6-bit Shift Right Register ... Shift Register란 저장된 데이터를 각 클럭 틱마다 한 비트씩 이동시킬 수 있는 n비트 Register를 말한다. ... Shift Right Circulating shift Register74HC96으로 만든 5-bit Shift Right Register 회로이다.
    리포트 | 4페이지 | 1,500원 | 등록일 2017.03.09 | 수정일 2017.05.30
  • 논리회로실험 예비보고서7 Shift Register
    n-bit shift Register: n-bit shift Register라고 하면 n개의 Flip-Flop으로 구성된 Register을 말한다. ... Shift Register: Shift Register는 저장된 데이터를 각 클럭 틱마다 한 비트씩 이동시킬 수 있는 n비트 Register이다.위의 왼쪽 그림은 직렬-입력, 직렬-출력 ... Shift Register실험목적? Shift Register의 동작원리와 그 특징을 알아보고 실험을 통해 익숙해진다.?
    리포트 | 6페이지 | 1,500원 | 등록일 2017.03.09 | 수정일 2017.05.30
  • [HDL-Verilog] D F/F, 8bit register, 8bit shift register
    결과값▶D-Flip Flop▶8-bit register▶8-bit shift register5. ... [LAB 2, 3, 4] 실습 레포트(D-Flip Flop, 8-bit register, 8-bit shift register)1. ... Truth Table & Symbol▶D-Flip Flop▶8-bit register▶8-bit shift register3.
    리포트 | 7페이지 | 1,000원 | 등록일 2015.06.05
  • 쉬프트 레지스터 결과보고서
    12장 결과보고서 2009069160 김기훈실험 (1) 1. SN7474를 이용하여 의 회로를 구성하라. 먼저 PRESET 단자를 잠시 0으로 하였다가 1으로 놓아라. 데이터 입력 스위치 S1의 출력을 SI단자(직렬 입력단자)에 연결하고 누름스위치(Push on / r..
    리포트 | 4페이지 | 1,000원 | 등록일 2014.06.03
  • 쉬프트 레지스터 예비보고서
    양방향 이동(Bidirectional)또한 쉬프트 레지스터를 구성하는 플립플롭의 수에 따라 그 수가 4개면 4bit shift register, 8개면 8bit shift register라 ... 우 쉬프트 레지스터플립플롭에 기억된 정보를 클럭펄스에 의하여 오른쪽으로 이동시킬 수 있는 레지스터를 우쉬프트 레지스터(right shift register)라 한다. ... 동작을 이해한다.관련이론플립플롭이나 래치는 가장 기본적인 기억소자이며 계산기내에서 수치나 명령 등의 정보를 일시 기억해 회로로 사용되며 멀티비트를 저장할 수 있는 플립플롭을 레지스터(register
    리포트 | 10페이지 | 1,000원 | 등록일 2014.06.03
  • 시프트 레지스터 결과보고서
    1. 실험 결과(1)기본 RS플립플롭입력(a)0*************측정불가측정불가00측정불가측정불가☞ 실험 결과 및 토의처음에,에 1을 넣었더니,값이 각각 0과 1이 나왔다. 그 후,으로 할 때 이전상태가 변하지 않았으며,일 때값이 1로 바뀌었으며일 때값이 0으로 ..
    리포트 | 3페이지 | 1,000원 | 등록일 2013.03.31 | 수정일 2017.09.14
  • 특수기능 레지스터 의의와 유형
    왜냐하면 두번째 옵션은 3 byte를 필요로 하는 반면에 처음 방법은 2byte를 필요로 하기 때문이다.B (B Register, Addresses F0h, Bit-Addressable
    리포트 | 7페이지 | 2,000원 | 등록일 2012.12.25
  • 기초회로실험(쉬프트 레지스터 예비보고서)
    하는 것이 바로 shift register이다. ... 양방향 이동 (Bidirectional)또한 쉬프트 레지스터를 구성하는 플립플롭의 수에 따라 그 수가 4개면 4bit shift register, 8개면 8bit shift register라 ... 이해한다.2.실험이론플립플롭이나 래치는 가장 기본적인 기억소자이며 계산기 내에서 수치나 명령 등의 정보를 일시 기억해 회로로 사용되며 멀티비트를 저장할 수 있는 플립플롭을 레지스터(register
    리포트 | 5페이지 | 1,000원 | 등록일 2016.09.30
  • 레지스터 실험(Pspice)
    .▣ 시프트 레지스터(Shift register)를 이용한 카운터의 동작을 이해한다.중략..3. 고찰 D F/F 를 이용한 4비트 우측 시프트 레지스터를 위와 같이 구성했다.
    리포트 | 3페이지 | 1,000원 | 등록일 2009.12.24
  • 디지털실험및설계 결과3(시프트 레지스터)
    디지털 논리실험 및 설계#3 시프트 레지스터 (결과)담당교수님 : 교수님제출일자 : 2015. 04. 13조 :학번 :이름 :1. 실 험 결 과(1) 시프트 레지스터CLKQ_{ 3}Q_{ 2}Q_{ 1}Q_{ 0}000*************010041000500006..
    리포트 | 5페이지 | 1,500원 | 등록일 2015.12.05
  • 실험 6. 시프트레지스터와 카운터 (Shift Register & Counter)
    이러한 회로를 shift-register counter라 부른다. ... 시프트레지스터와 카운터 (Shift Register & Counter) >< 목 적 >시프트 레지스터와 링 카운터의 동작 원리와 특성을 이해한다.
    리포트 | 5페이지 | 2,000원 | 등록일 2012.03.11
  • 유니스터디 이벤트
AI 챗봇
2024년 10월 02일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:41 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감