• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(773)
  • 리포트(697)
  • 시험자료(65)
  • 자기소개서(4)
  • 방송통신대(4)
  • 논문(2)
  • 이력서(1)

"up-counter" 검색결과 181-200 / 773건

  • 아주대 논리회로실험 설계 프로젝트 결과보고서
    clk은 100Hz로 Divide하여 사용한다.- 프로그램 시작 시 00000에서 key0 신호를 받아 99999까지 up-counting을 시작한다.- Up-counting 중 Key1 ... FND에 연결하여 control 할 수 있다.[7-segment power control Part] : 5개의 output 단자로 5개의 7-segment의 전원을 효과적으로 제어7490 ... 남은 숫자를 up/down-counting’을 가능하게 해준다.
    리포트 | 8페이지 | 2,000원 | 등록일 2016.06.16
  • A+ 디지털 시스템 실험 Sequential Circuit 설계 및 구현 <7주차 예비보고서>
    이러한 문제점은 모든 플립플롭이 입력 클럭 펄스에 의해서 동시에 동작되는 동기식 카운터(synchronous counter) 또는 병렬 카운터(parallel counter)를 사용해서 ... 동기식 UP/DOWN 카운터를 설계한다.- Input : Clock, Reset, UP/DOWN selector- output : 7-segment2. ... 예 1) 엘리베이터- 7-Segment에 현재 층수를 표시한다.- 현재 층수보다 높은 층수로 올라가도록 입력을 넣으면 목표 층수까지 UP 카운트를 동작시킨다.- 현재 층수보다 낮은
    리포트 | 4페이지 | 1,000원 | 등록일 2017.07.05
  • ((핵심정리)) 2019 토익, 단어 숙어 등 총정리 - 파트별 알짜자료 공유합니다. - paraphrase 등
    -03-22 4665be fed up with 2011-10-19 4860be fed up with 2012-05-17 4425be fluent in 2012-12-13 3117be ... 받아under review 검토중인under the age of 13 13세 미만의 아이들under the counter 불법적으로 또는 은밀히 거래하는Under the guidence ... forbidden to 2011-12-05 4896be grounds for 2013-02-26 3963be held up in the traffic 2012-05-18 5163be
    리포트 | 9페이지 | 4,500원 | 등록일 2019.04.09
  • Sequential-Logic-Design-Ⅱ-FSM and Clocked-Counter
    Design a 8-bit up/down counter with synchronous reset실험 :장비에서 확인Clk : 1Lord : 1Up : 0입력값을장치에 lord(초기값 ... 또 이를 넘어서서 4-bit up counter, 더 나아가 8-bit up/down counter, 더 복잡한 연산을 포함하는 moore state machitate Variable ... Design a 8-bit up/down counter with synchronous reset : 다음의 실험의 경우는 위의 실험과 거의 비슷한 설계를 가진다.
    리포트 | 25페이지 | 3,000원 | 등록일 2016.04.06 | 수정일 2017.03.08
  • 세계의 저널리즘,저널리즘 연구,다문화 연구,저널리즘이란
    colored by national media systems. comparative perspectiveGEARING UP FOR C CROSS-NATIOANL RESEARCH Over ... “There is no clearly defined counter concept for looking at occupational behavior in a different light ... Comparative Journalism StudiesGEARING UP FOR CROSS-NATIOANL RESEARCH CONCEPTUAL ISSUES IN COMPARATIVE
    리포트 | 19페이지 | 3,500원 | 등록일 2018.05.15
  • 두뇌와 인터넷의 상관관계
    The reason was because multi-taskers were more plugged in to their peers, able to vacuum up information ... In some sense, we googling each other’s memory stores.This can counter the fact that the internet has ... ’.Carr also brought up research data in order to prove his point.
    리포트 | 3페이지 | 2,000원 | 등록일 2019.02.10
  • Application-Design-Ⅰ-7-segment and Piezo-Control
    확인한다.Inlab 2. counter with Piezo다른 것들은 위의 과정과 같이 실행한다.Source와 핀 설정은 주어진 회로에 맞게 수정하여 작성한다.Simulation에서 ... 이는 예상한 실험결과와 동일한 결과를 나타내었다.Inlab 2. counter with Piezo : 이 실험은 피아노와 같이 설계를 통해 장비에서 음계와 같은 소리를 발생시키도록 ... the resultInlab 1. 74LS193A counters with 7 segment입력출력실행과정예상결과비교0000 : lordUp : 1Down : 0Clk : 10000을
    리포트 | 28페이지 | 3,000원 | 등록일 2016.04.06 | 수정일 2017.03.08
  • 전기전자기초실험 Flip-flop and Counter Design 결과보고서
    , 4-bit bi-directional shift register, synchronous mod-10 counter circuit, and 4-bit Up/down preset counter ... -Objective : To understand the operating principle of various kinds of flip-flops, and design counter ... The output Q and QN connect the output part of slave, so slave flip-flop operate as negative edge clock
    리포트 | 12페이지 | 1,000원 | 등록일 2009.09.08
  • 전자전기컴퓨터설계실험2(전전설2)9주차결과
    응용과제 HB-LCD코딩(text)// HB-LCDmodule hb_lcd(resetn, clk,lcd_e, lcd_rs, lcd_rw,lcd_data,cursor_up, culk_ ... if(number_up_w)if(counter2 == 8'b00111001)if(counter1 == 8'b00111001)begincounter1 = 8'b00110000; // ... 마지막으로 Funct Switch, LED와 같은 주변 장치를 위주로 구성하여 장비의 크기를 간단하게 만든 모델이다.
    리포트 | 23페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 디지털시계 프로젝트, digital clock 프로젝트
    ---+ +---+ +---+ +---+ ** a b c ** ** 8 비트(1 바이트) 무부호 정수 a,b,c 를 입력으로 하여 a 는 하여 1초 단위로 시간을 표시한다. 250㎲ ... 프로젝트상 전원부에는 9V가 입력된다. ... Port0에는 Pull-up 저항으로 8개의 저항이 연결되어 있다. Pull-up 저항이란 IC의 I/O 단자에 연결하여 잡음에 의한 동작을 방지하기 위한 저항이다.
    리포트 | 34페이지 | 1,500원 | 등록일 2017.04.02
  • 디지털 시스템실험, Verilog 코딩, Sequential Circuit (신호등) 구현, FPGA보드에서 신호등 사진 결과
    Up/down counter의 Verilog code이다2. 조교님이 올려주신 testbench code이다3. FPGA보드에 연결하여 Upcount부터 실행하여 보았다. ... 다음은 앞에서 coding한 카운터를 응용하여 Traffic light coding을 해보았다.Green->Yellow->Red 각각 5초 3초 2초정도 term을 두고 변화하게 code를 ... /down Counter를 coding을 하였다.Reset버튼을 누르면 0000로 초기화가 되고 Up이 0이면 down count를 하고 1이면 up count를 하게끔 만들었다.다음으로
    리포트 | 3페이지 | 2,000원 | 등록일 2015.12.05 | 수정일 2018.05.16
  • VHDL-Pre lab - Counters
    장치이다. ... > describe its functional behaviorsCount UP/DOWN의 Input에 따라 동작 특성이 달라지는데, UP에 CLK이 들어가면 UP counter로 동작하고 ... Design a 8-bit up counter with an asynchronous reset-describe its input output signals -2 inputs and
    리포트 | 9페이지 | 2,000원 | 등록일 2009.06.29
  • Martin Luther 영문 에세이
    I went to church and I grew up singing gospel and reading the Bible. ... Nowadays, Korea church have countered many problems in spreading the gospel as Catholic Church did during ... Retrieved from https://www.biblicaltraining.org/blog/martin-luther-theology-word-of-god/gordon-isaacHans
    리포트 | 3페이지 | 1,000원 | 등록일 2018.12.15 | 수정일 2020.02.27
  • 실험8-카운터-예비레포트
    비동기 카운터는 J-K 플립플롭 또는 T 플립플롭을 사용하여 구성하고 카운터는 상향 카운터(up counter)와 하향 카운터(down counter)가 있다.비동기식 이진 카운터[ ... ][ 비동기식 십진 카운터 출력 ]동기식 가감산(up/down) 카운터동기식 가감산 카운터는 각 플립플롭의 클럭 신호 입력이 외부로부터 동시에 병렬로 제공된다.[ J-K 플립플롭을 ... "_Toc403497020" 2.1.2비동기식 십진 카운터 PAGEREF _Toc403497020 \h 3 Hyperlink \l "_Toc403497021" 2.2동기식 가감산(up
    리포트 | 13페이지 | 1,000원 | 등록일 2017.03.07
  • Two Cheers for Democracy
    사람들은 그런 심각한 선택을 하게 되지는 않을 것이다.Love and loyalty to an individual can run counter to the claims of the ... co and ring up the police.이러한 선택은 현대 독자들을 충격 받게 할지도 모른다. ... forms of government, and divide its citizens into the bosses and the bossed – as an efficiency-regime
    리포트 | 5페이지 | 1,000원 | 등록일 2019.02.26
  • MUX & 7-SEGMENT LED DECODER
    누르면 counter값이 f가 된다.else down_count ... ) //4bit up_counterbeginif(clr)up_count ... ;1 : mux_out
    리포트 | 7페이지 | 1,000원 | 등록일 2015.03.13
  • VHDL-Post lab - Counters
    Up counter 0 - > 1001Down counter 1 -> 1110Up counter 1 - > 1010Down counter 2 -> 1101Up counter 2 - ... > 1011Down counter 3 -> 1100Up counter 3 - > 1100Down counter 4 -> 1011Up counter 4- > 1101Down counter ... 5 -> 1010Up counter 5 - > 1110Down counter 6-> 1001Up counter 6 - > 1(end 표시) 11116-4> Analyze and discuss
    리포트 | 10페이지 | 2,000원 | 등록일 2009.06.29
  • 동기카운터 예비
    실험 방법(1) 6-mode gray code synchronous counter를 설계한다.(2) 각각의 출력 파형을 관측하고 비교한다.6. 피스파이스1) 동기 카운터7. ... 논리 분석기는 연속적으로 각 채널의 입력 데이터를 디지털 정보 0과 1로 바꾸어 디지털 기억장치에 저장한다. ... 실험 제목 : D 래치 및 D 플립플롭 / J-K 플립플롭2.
    리포트 | 6페이지 | 1,000원 | 등록일 2019.03.26
  • 8051 디지털 알람 시계 프로젝트 보고서
    ++; //counter up} else { //direction(p3.4)=0counter--; //counter down}if (move_enable) {qabcounter = ... 이때는 내부적으로 풀업(pull-up)되어 있다. ... 이번 프로젝트에서는 외부에 메모리를 인터페이스하지 않았을 때 이므로 위 그림과 같이 4.7kΩ 저항 8개를 이용하여 풀업(pull-up)저항을 연결시켜 주었다. pull-up저항에
    리포트 | 28페이지 | 3,500원 | 등록일 2015.11.09 | 수정일 2015.12.14
  • [컴퓨터공학기초설계및실험1 예비레포트] 비동기 계수회로
    이 두 회로를 결합하여 구성한 것으로 제어입력에 따라 증가, 또는 감소순으로 계수하는 것은 가감산 계수회로(up down counter)라 한다.up counter비동기식 카운터는 ... 일반적으로 n개의 플립플롭으로는 2n가지의 출력을 낼 수 있으며 10진수로 최고 수는 2n-1이다.비동기 계수회로는 직렬 계수회로(serial counter)라고도 불리며, 그 이름대로 ... counter을 결합한 것으로 선택 신호에 의해서 어느 한 가지로 선택하여 동작한다. down counter 입력을 1로 하면 up counter가 되고 입력을 0으로 하면 down
    리포트 | 3페이지 | 1,000원 | 등록일 2015.03.16
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:50 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대