• 통큰쿠폰이벤트-통합
  • 통합검색(1,074)
  • 리포트(1,015)
  • 시험자료(42)
  • 자기소개서(11)
  • 방송통신대(5)
  • 서식(1)

"가산 논리 회로" 검색결과 201-220 / 1,074건

  • 충북대학교 전자공학부 기초회로실험 Multiplexer 가산-감산 예비 보고서
    {S} (D bar{A} bar{B} +D _{1} bar{A}B + D _{ 2}A bar { B} + D _{ 3}AB) 가 되어 디코더와 유사하다.(2) 멀티플렉서를 이용한 논리회로Y ... 멀티플렉서는 데이터통신 시스템에서 특정의 데이터를 선정하기 위하여 사용할 수도 있으며 RAM (random access memory)이나 ROM(read only memory)을 이용하여 논리회로의 ... BULLET bar { A}B+1 BULLETA bar { B}+0 BULLETAB)= bar { A}B+A bar { B}(3) 함수 발생(Function generation)논리회로에서는
    리포트 | 3페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.15
  • 서울시립대학교 전전설2 전자전기컴퓨터설계실험2 결과와 예비레포트 동시에 2주차 Lab02 Schematic Design with Logic Gates
    일반적으로 Xilinx ISE를 이용해 FPGA를 설계하는 과정은 다음과 같다.2) 본 실험에서 사용되는 논리회로(1) AND gateAND 게이트- 논리곱을 구현하는 기본 디지털 ... 예상 결과-본 실험은 Xilinx ISE프로그램을 이용하여 논리회로를 구현하는 실험이다. 따라서 결과는 복잡한 실험계산이 아닌 비교적 단순한 0과 1의 출력값을 갖게 된다. ... 따라서 캐리의 전파 지연을 단축시키기 위해 부가적인 회로를 추가하는데 몇 가지 방법 기법 중 광범위하게 쓰이는 것은 캐리 룩어헤드 논리(carry lookahead logic)의 원리를
    리포트 | 28페이지 | 3,000원 | 등록일 2020.07.27 | 수정일 2020.09.16
  • 논리회로실험(VHDL 및 FPGA실습) 이론 및 실험결과 레포트
    (Full adder)는 기본적으로 1비트의 2진수 3개를 더하는 논리회로이며 3개의입력과 2개의 출력으로 구성되어 있다. ... PurposeXilinx프로그램과 VHDL code를 이용해 기초적인 조합논리회로와 4 bit full adder & subtracter를 설계해 본다. ... Sources & Results① Test 1 - 기초 조합논리회로1) VHDL sourcelibrary IEEE;use IEEE.STD_LOGIC_1164.ALL;entity test1
    리포트 | 53페이지 | 8,000원 | 등록일 2022.01.25 | 수정일 2022.02.08
  • 전기및디지털회로실험 실험M2 예비보고서
    (직류회로에서의 측정 실험)- 가산기이전 실험 자료를 참고한다. (논리조합회로의 설계 실험)4. ... 및 전가산기(5) 보드의 적당한 디지털 입력 핀 세 개를 선정한 후, 스위치를 누르면 ON, 떼면 OFF가 입력되도록 회로를 구성한다.(6) 또한 추가로 적당한 출력 핀을 두 개로 ... 선정한 후, 출력이 ON이면 LED가 켜지고, 출력이 OFF면 LED가 꺼지도록 회로를 구성한다.(7) 세 개 중 앞 두 개의 입력을 이용해 출력으로 반가산기의 결과를 보여주도록
    리포트 | 8페이지 | 1,000원 | 등록일 2023.06.30
  • 서울시립대 전자전기설계2(전전설2) 2주차 결과보고서
    실습2(반가산회로 구현)실습2에서는 각각의 위 실습1과 같은 방법으로 각각의 논리 게이트를 여러개 사용하여 반가산기를 디자인하고 실제로 콤보박스에 연결하여 동작을 확인하는 것이었다.위 ... 만들었던 반가산회로도(half_adder.sch)를 전가산기 프로젝트에 불러오면 위 사진과 같이 전가산기 프로젝트 하위 카테고리에 들어가고반가산기를 Symbol로 만들어 새로운 ... 8개이며 Sum 출력포트가 4개, Cout포트가 1개인 4비트 리플캐리 전가산기의 회로도이다.
    리포트 | 9페이지 | 1,500원 | 등록일 2019.10.13
  • FPGA 실습 보고서 (Digital Systems Design Using Verilog)
    고찰Verilog를 사용한 디지털 논리회로의 작성은 c언어와 유사한 형식으로 작성된다. c언어에서는 변수 선언을 통해 함수의 입력 값을 결정한다면 verilog에서는 input ... Verilog의 편리한 점은 회로를 시각적으로 확인할 수 있고 testbench를 통하여 실제 입력값들을 디지털회로에 입력시켜보고 출력값을 확인할 수 있다는 점이다. ... -bit 전가산기의 결선도 기호전가산기(全加算器, full adder)는 이진수의 한 자릿수를 연산하고, 하위의 자리올림수 입력을 포함하여 출력한다.
    리포트 | 15페이지 | 2,000원 | 등록일 2020.03.12 | 수정일 2020.03.14
  • [부산대 이학전자 A+] OP amp_2
    coupled 논리 게이트의 입력단에 자주 쓰인다. ... 증폭기)Inverting Summing Amplifier은 여러개의 입력저항을 동시에 OP-Amplifier의 반전입력 (-)단자에 연결하면 가산기가 된다. ... 전압을 억제한다.Golden Rule에 따라 다음과 같은 식으로 V_out 을 구할 수 있다.2) Inverting Summing AmplifierSumming Amplifier (가산
    리포트 | 24페이지 | 2,000원 | 등록일 2021.03.03 | 수정일 2021.03.13
  • 충북대 기초회로실험 4-비트 산술논리회로 결과
    실험 12. 4-비트 산술논리회로(결과보고서)실험 결과(1) Pspice를 이용하여 의 (a)와 같이 1비트 전가산기를 그리고 시뮬레이션을 한 다음 (b)와 같이 심볼화 하라.(2) ... 4비트 논리 연산회로를 그리고 시뮬레이션을 한 다음 심볼화 하라.(8) 실험 4와 실험 5 및 실험 7에서 설계된 심볼을 이용하여 의 4비트 산술논리회로를 그리고 시뮬레이션을 한 ... BXOR111xF =bar{B} 보수비고 및 고찰이번 실험에서는 Pspice를 이용하여 몇 가지 회로를 설계해 보고, 최종적으로 4-bit 산술논리회로를 설계하여 시뮬레이션을 해 보는
    리포트 | 5페이지 | 1,000원 | 등록일 2021.09.10
  • 가산기, 감산기 설계
    원리전가산기는 2진수 입력 2개와 아랫자리에서 올라온 캐리를 포함하여 한 자리의 2진수 3개를 더하는 조합 논리회로이다.전가산기 진리표를 이용하여 논리식을 정리하면A _{n} OPLUS ... 전감산기의 원리전감산기는 두 2진수 입력A _{n},B _{n}과 아랫단으로 빌려주는 빌림 수K _{n-1}을 포함하여A _{n} -B _{n} -K _{n-1}을 계산하는 조합 논리회로이다.입력 ... 덧셈 회로이고 반가산기(HA) 2개를 합쳐서 전가산기(FA)를 만들 수 있고 감산기는 뺄셈 회로이고 반감산기(HS) 2개를 합쳐서 전감산기(FS)를 만들 수 있다.이번 실험은 어렵지
    리포트 | 16페이지 | 1,500원 | 등록일 2020.11.15 | 수정일 2022.04.23
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab01(예비) / 2021년도(대면) / A+
    실험의 목적TTL의 특성을 이해하고 그를 활용하여 OR 게이트 논리 회로, XOR 게이트 논리 회로, 반가산회로, 전가산회로를 설계 및 실험한다.나. ... 순차 논리 회로- 는 순차 논리 회로의 블록도이다. ... 순차 논리 회로는 동기(symchronous)식 순차 논리회로와 비동기(asynchronous)식 순차 논리회로로 구분할 수 있는데, 클럭 펄스가 들어오는 시점에서 상태가 변하는 회로
    리포트 | 12페이지 | 2,000원 | 등록일 2022.07.16
  • 서울시립대학교 전전설2 1주차 결과레포트(코딩 성공적, A+, 10점 만점 11점)
    출력 값이 결정되는 논리 회로이다.이는 지금 사용하는 다양한 전자기기들의 기반이 된다. ... (2) CMOS(Complementary metal-oxide-semiconductor) HYPERLINK \l "주석2"[2]CMOS는 MOSFET을 활용해 만든 디지털 논리 회로이다 ... 0/0 -> 0- 0/1 -> 1- 1/0 -> 1- 1/1 -> 1Results of Lab 4.1) 반가산회로 구현- 반가산기 진리표ABC (green led)S(red led
    리포트 | 26페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.15
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab03(예비) / 2021년도(대면) / A+
    단점: ① 현재의 논리 합성은 단상 동기 회로용이다.② 툴류가 비싸다.③ ASIC으로는 논리 합성할 수 없는 회로도 있다.b. ... 실험의 목적Verilog HDL 언어의 기본 사용법을 익히고 디지털 논리회로를 설계하는 여러 가지 방법론을 학습한다. ... 그러나 reg는 조합논리회로의 모델링에도 사용되므로, reg가 항상 하드웨어적인 저장소자를 의미하는 것은 아니다.(5) Verilog에서 for문, if문의 사용법에 대하여 조사하시오.a
    리포트 | 12페이지 | 2,000원 | 등록일 2022.07.16
  • 카이스트 및 GIST 기초학부 면접 시 자주 하는 질문과 모범 답안입니다. 관련 학과로 진학하실 분들은 본 자료를 잘 참고하여 꼭 합격하시길 빕니다.
    A : 네, 학교에서 배웠습니다.Q : 조합논리회로에 대해 적혀있는데(생기부, 자소서), 가산기, 감산기의를 제작하는 방법은 무엇인가요? ... A : 전가산기는 반가산기 2개에 OR 게이트, 전감산기는 반감산기 2개에 OR 게이트, 가감산기는 전가산기를 병렬 연결하여 만들 수 있습니다.Q : 부울 대수에서 꼭 필요한 요소를
    자기소개서 | 3페이지 | 4,000원 | 등록일 2023.09.06
  • [건국대학교 논리회로 A+][2024 Ver] 10주차
    논리회로 실습과제 10주차 1. ... 예만 캡처하였습니다. (1) 아무것도 켜지지 않은 경우 (2) SW9가 켜진 경우 (3) SW9와 SW8이 동시에 켜진 경우 (4) SW8이 켜진 경우 고찰 4비트 올림수 미리보기 가산기를 ... 이러한 기능들을 잘 사용하면 앞으로 아무리 복잡하고 어려운 회로가 나와도 손쉽게 만들 수 있을 것 같다.
    리포트 | 10페이지 | 5,000원 | 등록일 2024.08.14 | 수정일 2024.08.19
  • 서울시립대 전자전기설계2(전전설2) 1주차 결과보고서
    가산기는 반가산기 2개와 추가적인 OR회로로 구성되어있는 회로인데 하나의 소자에 4개의 논리회로가 있는 7486, 7432 TTL을 하나씩 사용하고 7408 TTL을 사용하여 회로를 ... 반가산회로 구현실습4는 반가산회로를 만드는 실습이었다. ... 전가산회로 구현실습5는 전가산회로를 만드는 것이었다.
    리포트 | 10페이지 | 1,000원 | 등록일 2019.10.13
  • 설비전기제어실험 리포트
    스위치로 이용하여 제어하는 방식으로 표현방법에는 논리회로가 사용된다.5) 논리회로 종류반가산기, 전가산기, 병렬가산기, 반감산기, 전감산기, 디코더, 인코더, 멀티플렉서, 디멀티플렉서 ... 시퀀스 제어5) 논리회로 종류3. ... , 다수결회로, 비교기 등이 있다.3.
    리포트 | 6페이지 | 1,000원 | 등록일 2020.09.14
  • 아날로그및디지털회로설계실습예비보고서9-4-bit Adder 회로 설계
    실습목적조합 논리회로의 설계 방법을 이해하고 조합논리회로의 한 예로 가산회로를 설계한다.2. ... 정보를 기억하는 회로를 가지고 있지 않은 게이트들의 집합이다. ... 1대함수발생기 (Function generator) : 1대점퍼선 : 다수* 조합회로(Combinational circuit)출력값이 0과 1의 입력값에 의해서만 결정되는 회로이다
    리포트 | 4페이지 | 1,000원 | 등록일 2020.03.29 | 수정일 2020.05.06
  • 논리회로설계실험_디코더/엔코더 레포트
    논리회로설계 실험 결과보고서 #4실험 4. 디코더, 엔코더1.
    리포트 | 12페이지 | 2,500원 | 등록일 2021.10.09
  • 양자컴퓨터 교내 연구 제안서 및 사업계획서 (Quantum computer proposal report)
    따라서 이와 연관된 가산기, 쉬프트, 코드 변환기 등을 양자 회로로 설계하는 연구가 필요함. ... 그 중 높은 에너지 효율과 내구성을 가진 가역 논리 게이트를 활용한 연구가 활발히 증가되고 있음.□ 가역 논리 게이트를 활용하여 구현한 양자 회로는 비가역 회로보다 높은 내구성 및 ... 에너지 효율을 기대할 수 있고 가역성을 부여하면 회로를 통합 할 수 있어 공간 비용에도 큰 이점을 가짐.□ 양자 컴퓨터는 기존에 의존된 수학의 복잡성을 가볍게 계산하는 특성으로 인해
    리포트 | 5페이지 | 3,000원 | 등록일 2019.10.22 | 수정일 2019.10.29
  • 디지털 실험 7장(가산기,감산기) 결과보고서
    회로가 복잡한 것도 한 몫 했지만, 7483 4비트 가산기의 이해를 하는데 시간이 너무 오래 걸렸다. ... 논리 1일 때, LED는 ON이 되고 논리 0일 때, LED는 OFF가 된다고 가정하자.3. 결과 분석위의 사진은 A=10(1010)인 경우이다. ... 수의 가산기 설계를 완성한다.2.
    리포트 | 6페이지 | 3,000원 | 등록일 2019.12.17
  • 유니스터디 이벤트
AI 챗봇
2024년 10월 02일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:34 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감