• 통큰쿠폰이벤트-통합
  • 통합검색(7,039)
  • 리포트(5,989)
  • 시험자료(508)
  • 방송통신대(295)
  • 자기소개서(216)
  • 논문(22)
  • ppt테마(5)
  • 서식(2)
  • 이력서(2)

"논리적코드" 검색결과 201-220 / 7,039건

  • [디지털공학 실험] 멀티플렉서를 이용한 조합논리
    이 표현식을 만족하는 논리회로를 바로 구현될 수 있다. 각 항을 D로 인수분해함으로써 무효 코드에 대한 다른 표현식을 얻을 수 있다. ... Digital Logic and Microcomputer Design (Fifth Edition)』, John Wiley & Sonshttps://www.electroschematics.com ... 실험 제목 [논리회로의 간소화]2.
    리포트 | 7페이지 | 1,000원 | 등록일 2021.06.20
  • 인공지능시대의 컴퓨터 개론 기출문제 족보 2장 정오식
    논리곱 회로는 논리곱 조건을 만족하는 회로로서 2개의 조건 중 1개만 만족해도 되는 경우이다. ( X )(논리곱 회로는 2개의 조건 모두 만족해야 되는 경우이다.)1. ... 유니코드는 한글만을 위한 코드 체계가 아닌, 전 세계 언어를 하나의 코드 체계 안으로 통합하려는 컴퓨터 업체들의 협의에 의해 만들어진 코드이다. ( ○ )16. ... 아스키(ASCII) 코드는 미국 정보 교환 표준 코드로서 미국표준협회가 제정한 데이터 처리 및 통신 시스템 상호 간의 정보 교환용 표준 코드이다. ( ○ )13.십진수 소수를 2진수로
    리포트 | 3페이지 | 2,000원 | 등록일 2022.04.29
  • 시립대 전전설2 Velilog 결과리포트 5주차
    같은 진리표를 얻을 수 있다.논리회로논리식Q = S1’S2’A + S1’S2B + S1S2’C + S1S2D4) BCD Code (8421 코드)BCD = Binary-Coded ... 게이트 맞게 논리연산자를 설정해주었다.실측결과Input A,B,C,D를 스위치 버튼을 설정해주었고 출력 OUTPUT을 LED로 설정해주었다. ... 코드를 보면 s가 00, 01, 10, 11일 때 출력 q가 각각 a, b, c, d가 되도록 해주었다.
    리포트 | 16페이지 | 1,000원 | 등록일 2021.04.16
  • [결혼과가족] 개방된 성문화 속에서 한국 사회가 보다 건강한 성에 대한 가치를 심어 가려면, 어떤 변화가 필요할까
    arcid=0010865512&code=61121111&cp=nv" http://news.kmib.co.kr/article/view.asp? ... arcid=0010865512&code=61121111&cp=nv Hyperlink "http://www.hani.co.kr/arti/society/schooling/708863.html ... 원치 않은 상황에서도 본인이 방어할 수 있는 논리와 방법을 알 수 있도록 청소년도 주체라는 점을 인지시켜야 하는 것이다.
    리포트 | 3페이지 | 2,000원 | 등록일 2020.11.26
  • 디시털시스템실험 - 디코더 설계 결과보고서
    /*코드설명line 1 ; 인자로 A3,A2,A1,A0,S3,S2,S1,S0를 갖는 converter 모듈 정의line 2 ; converter의 입력 A3,A2,A1,A0line ... 3 ; converter의 출력 S3,S2,S1,S0line 4 ; 논리적인 행동이나 기능이 없이, 단지 연결하는데 사용이 되는 wire P15~P0을 지정한다.line 6 ; TEMP라는 ... /*코드설명line 7 ; 모듈 AAA호출line 11 ; A3의 인버팅된 값과 TEMP0의 논리값이 D0에 입력이 된다.
    리포트 | 4페이지 | 1,500원 | 등록일 2020.11.14
  • 컴퓨터구조 ) 컴퓨터 내부에서 사용하는 명령어 사이클의 4가지 단계에 대해서 비교설명하시오
    페치 사이클은 명령어에 포함된 code를 처리하여 해당 명령(instruction)을 가져온다. ... 그 다음 단계는 데이터-프로세싱(Data-Processing) 단계로, 논리 명령뿐 아니라 수치 명령도 이용한다.
    리포트 | 5페이지 | 5,000원 | 등록일 2023.12.14
  • [사회복지조사론] 조사자료의 처리 - 편집
    이론에 비추어 자료를 검사하고 이론 형성에 용이하도록 결론을 도출하는 것이다.자료처리 및 분석은 다른 단계의 조사과정과 밀접하게 상호 관련되어 있으며 편집(editing), 부호화(coding ... 일관성은 논리적 일관성, 개념적 일관성, 관리적 일관성으로 설명할 수 있다.# 자료의 일관성* 논리적 일관성이란 수치 계산이 정확한지, 어떤 질문에 대한 응답과 다른 질문에 대한 응답이 ... 그리고 부호화를 위해 자료가 분명하고, 일관되며, 간단하도록 한다.1) 편집의 전략이러한 목적을 달성하기 위해 편집 전략은 완전성(completeness), 일관성(consistency
    리포트 | 5페이지 | 2,000원 | 등록일 2024.01.29
  • [A+]아날로그및디지털회로설계실습 7장 결과보고서
    이에 따른 입 출력의 delay를 관찰하도록 한다.다음으로 디코더란, 해독기의 의미로 n비트의 이진 코드값을 입력으로 받아들여 최대 2 ... 위와 같이 AND, OR, NOT 게이트 여러 개를 조합하여 NAND, NOR, XOR, XNOR를 구현할 수 있으며 각 논리 함수의 진리표도 확인할 수 있다. ... 동시에 각 게이트에 넣는 전원전압도 5V로 공통되었는데 각 논리 소자는 정격 동작 전압이 5V이기 때문에 입력 전원 전압을 낮추면 어느 순간 logic fail이 일어나게 된다.
    리포트 | 11페이지 | 1,000원 | 등록일 2024.02.18
  • 컴퓨터의 이해 교양 정규과제 레포트
    논리적 단계에서는 논리 스키마 혹은 개념 스키마가, 뷰 단계에서는 서브 스키마, 외부 스키마가 대응된다. ... 갖는다.구분컴파일러인터프리터번역 단위프로그램 전체를 한 번에 번역프로그램의 행 단위를 번역실행 속도목적 프로그램이 생성되므로 빠름목적 프로그램이 생성되지 않으므로 매번 번역, 느림해당 언어C언어 ... 둘째, 논리적 단계는 데이터베이스에 저장될 데이터의 종류와 데이터들 간의 관계를 기술한다. 논리 스키마는 비교적 간단한 데이터 구조로써, 전체 데이터 베이스를 기술한다.
    리포트 | 4페이지 | 1,000원 | 등록일 2021.07.05
  • FPGA Board를 이용한 FSM회로의 구현 (up-counter) 예비레포트
    HDL로 작성된 코드는 로직 컴파일러를 이용하여 컴파일한 후 해당 기기에 올려진다. 대개의 경우, 테스트를 진행하며 여러 번 코드를 수정하여 기기에 올려볼 수 있다. ... FPGA Board를 이용한 FSM회로의 구현 (up-counter)예비레포트1. 실험 제목1) FPGA Board를 이용한 FSM회로의 구현 (up-counter)2. ... Vivado Simulation Resultmodule codetestbench codesimulation waveform6.
    리포트 | 5페이지 | 1,000원 | 등록일 2022.11.06
  • 8주차 예비 - 인코더와 디코더 회로
    입력을 출력으로 변환하는 다중-입력, 다중-출력논리 회로이다. ... 회로의 enable입력이 존재한다면 반드시 정상적인 논리출력을 얻기 위해서는 인에이블 신호가 회로에 인가되어야 한다. ... 그리고 회로를 설계하는 입장에서는 디코더라 칭할수 있지만 실제로는 4비트 십진수를 7비트 코드로 변환하는 코드 변환기이다.
    리포트 | 5페이지 | 1,500원 | 등록일 2020.10.01
  • 조합논리회로와 순서논리회로의 종류 및 특징(회로) 조사
    자리올림수(C in)을 모두 더해 해당 자리의 합(S)과 자리올림수 (C out)를 구한다 이것이 여러 개 합쳐지면서 여러 비트의 연산을 할 수 있게 된다(병렬가산기)2)비교기: ... 변환시키는 장치를 말하고 디코더는 그와 반대로 코드를 우리가 알아볼 수 있는 문자나 기호로 변환시키는장치를 말한다.입력신호는 1이 들어오지 않을 수도 있고, 여러 개 들어올 수도 ... (A,B)를 비교하여 작은지 (LT=1),같은지(EQ=1),큰지(GT=1)판별한다.3)인코더와 디코더간단하게 말해서 인코더는 각 문자 또는 기호등을 컴퓨터가 알아볼 수 있게 하는 코드
    리포트 | 8페이지 | 2,000원 | 등록일 2023.06.20
  • 전기및디지털회로실험 실험8 예비보고서
    이 디코더는 어떤 숫자에 해덩하는 BCD 코드가 주어지면 그 코드에 해당하는 수치를 표시할 수 있도록 BCD 코드에 맞추어 숫자표시기의 적절한 입력으로 바꾸어주는 역할을 한다. ... 대하여 서로 다른 논리 게이트 기능을 갖게 된다.https://m.blog.naver.com/PostView.naver? ... isHttpsRedirect=true&blogId=cni1577&logNo=*************.
    리포트 | 6페이지 | 1,000원 | 등록일 2023.06.30
  • 교육행정 및 교육경영 교육감직선제 쟁점보고서
    code=20*************3249" http://www.busan.com/view/busan/view.php? ... code=2022060519111613249 검색일자 2022. 06. 01.)김호, 「‘한유총 유착 의혹’ 장휘국 교육감 고발 당해」, 『KBS NEWS』, 2020. 07. 09 ... 이러한 현 상황을 살펴보면 다양한 교육 관련 인사의 정당 공천은 허가하면서 교육감의 정당 공천을 금지하는 것은 논리적으로 타당하지 않다.따라서, 교육감 후보가 이미 충분히 정치적 성향을
    리포트 | 4페이지 | 3,000원 | 등록일 2024.09.04
  • Apply to 활용법
    (안전 규정은 모든 건설 현장에 적용되어 근로자들의 안녕을 보장합니다.)예제 4: The dress code applies to all attendees of the formal event ... (비즈니스의 의사 결정 과정에도 같은 논리가 적용되며, 기업들은 위험과 보상을 모두 고려합니다.)예제 8: The principle of equality applies to all ... country.
    리포트 | 2페이지 | 1,000원 | 등록일 2023.08.05
  • 데이터 통신 및 컴퓨터 통신 10판 / 성진미디어 / 6장 복습문제
    사용할 수 있는 더 많은 정보를 제공하게 된다.따라서 CRC는 패리티 비트보다 더 많은 오류가 검출될 것으로 기대한다.6.4CRC 알고리즘은 각각 모듈로 2연산, 다항식, 디지털 논리의 ... 모든 값을 2진 계수를 가진 가변수(dummy variable) X를 사용하여 다항식으로 나타내며, 계수는 이진수의 비트에 해당한다.ex) = 110011,= 11001,• 디지털 논리 ... )를 설계할 수 있다.예를 들어, =32개의 전체 가능한 코드워드 중에 4개의 유효 코드워드가 있고, 28개의 비유효 코드워드가 있다고 했을 때, 각 비유효 코드워드에 대해서 정리하면
    리포트 | 4페이지 | 1,000원 | 등록일 2020.12.21 | 수정일 2022.09.15
  • [토론 A+] 3단계 모델링(개념 데이터 모델링, 논리 데이터 모델링, 물리 데이터 모델링)의 개념을 설명하고 각 단계 모델링에서 이루어지는 주요 활동에 대하여 기술하시오.
    따라서 특정 DBMS의 기능 및 제약 사항을 고려하여 설계됩니다.- SQL(Structured Query Language) 코드 생성: 물리 데이터 모델은 SQL 코드로 변환되어 데이터베이스 ... 이 단계에서는 개념 데이터 모델을 논리적인 테이블 형태로 변환하고, 데이터 간의 관계, 제약 조건, 키, 속성 등을 정의합니다. ... 논리 데이터 모델링'은 개념 데이터 모델을 더욱 세부화하여 실제 데이터베이스 시스템에서 사용될 수 있는 구조로 변환하는 과정입니다.
    리포트 | 4페이지 | 3,000원 | 등록일 2023.11.30
  • <탤런트 코드> 종합적인 분석 (주제, 줄거리 및 구조) 독후감 및 학술적 분석 대니얼 코일
    이 책의 주요 논리적 근거는 과학적 연구와 사례 연구를 바탕으로 한 데이터와 분석입니다. ... 생애와 작품의 연관성3.책의 분석3.1 책 내용의 실용적인 적용 가능성 분석3.2 책 내용의 인문학적 분석3.3 책 내용의 철학적 분석4.핵심 주장과 논거4.1 핵심 주장4.2 논리적 ... 작가로서의 성공을 이루기 위해 다양한 장르의 작품을 발표하고, 높은 수준의 작품을 지속적으로 발표해 왔습니다.대니얼 코일 작가는 그의 작품에서 인간의 복잡한 감정을 다루면서도, 논리적과
    리포트 | 19페이지 | 2,000원 | 등록일 2023.04.21
  • 예비보고서(5) 부호기복호기
    관련이론고정기능 조합논리회로에는 가산기, 비교기, 디코더, 인코더, 코드 변환기, 멀티플렉서, 디멀티플렉서 및 패리티 생성기/검사기 등 여러 종류의 고정기능 조합논리회로가 있다. ... 공통 음극 구성에서 입력은 actie-high이다.BCD 포맷으로 부호화 된 수치를 표시하기 위해서, 그 BCD 코드들은 7-세그먼트에서 필요로 하는 논리 값들로 변환하는 디코더를 ... 인코더는 여러 가지 기호나 알파벳 문자를 코드화 하는 데에도 쓰인다.
    리포트 | 6페이지 | 2,000원 | 등록일 2020.10.14
  • Inside Reading 1 / Unit6 / A TRUE STORY 해석본
    : 헷갈리게 하다drawer: 서랍valuable: 귀중품contrary: 상반된arrest: 붙잡다logical: 논리적인servant: 하인specific: 구체적인motive: ... 많은 AHe went directly to an up[stair bedroom.그는 곧장 위층 침실로 갔다.He pushed open a wall panel and used a code ... / to open a hidden safe.그는 벽 패널을 밀어서 열고 숨겨진 금고를 열기 위해 코드를 사용했다.Inside the safe were trays of {sparkling
    시험자료 | 11페이지 | 2,000원 | 등록일 2023.02.28
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:04 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대