• 통큰쿠폰이벤트-통합
  • 통합검색(1,046)
  • 리포트(975)
  • 자기소개서(36)
  • 시험자료(27)
  • 논문(4)
  • 방송통신대(4)

"동기식다운 카운터" 검색결과 201-220 / 1,046건

  • 부경대 디지털 논리 설계 6장 7장 과제
    표 6-9에 주어진 동기형 BCD 카운터의 플립플롭 입력식을 증명하라.카운트 인에이블 입력을 갖는 BCD 카운터의 논리 다이어그램을 그려라.BCD 카운터를 위한 단순화된 입력식은 다음과 ... 그리고인버터를 써서 아래의 문장 전부를 구현할 수 있는 회로의 한 비트절편에대한 논리 다이어그램을 그려라.C _{0} :R`2` larrow `0``````````````R`2`값을`클록과`동기시켜 ... 링카운터는 그림 6-9에서 보듯이 직렬 출력이 직렬 입력으로 연결되는 쉬프트 레지스터이다.
    리포트 | 11페이지 | 5,000원 | 등록일 2020.04.21 | 수정일 2022.11.11
  • 서강대학교 디지털논리회로실험 - 실험 7. Finite State Machines 결과 보고서
    [표 5][그림 15][그림 16][그림 17]6) 퀴즈 3번의 회로를 schematic으로 구현하여 시뮬레이션D 플립플롭을 이용하여 4-bit 비동기 Up 카운터를 설계한다. ... 각 플림플롭의 입력에 대한 식은 아래와 같다.[그림 18]이를 바탕으로 구현한 회로는 [그림 19]와 같다. ... state machine (FSM) 회로를 설계하고 분석할 수 있는 능력을 갖춘다.2) Mealy와 Moore state machine을 구분하고 각각의 특성을 이해한다.3) 비동기
    리포트 | 10페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 전자계산시 논리회로에서 조합논리회로와 순서논리회로의 차이점을 제시하고, 구조 및 특징을 제시하시오.
    플립플롭은 신호의 타이밍에 따라 동기식과 비동기식으로 구분되는데 동기식은 클럭 펄스라는 주기적인 신호가 있을 때만 동작하고 비동기식은 시간과 관계없이 입력이 변하는 순서에 따라 동작한다 ... 간단하게 정리하면 동기식은 클럭이 있고 비동기식은 클럭이 없다는 것이 차이점이다.1) RS플립플롭두 개의 NAND 게이트로 구성된다. ... 카운터 회로나 누를 때마다 ON, OFF가 교차되는 스위치에 주로 사용된다. 입력값이 0이면 상태 불변이고 입력값이 1이면 이전 상태의 보수값을 나타낸다.가.
    리포트 | 8페이지 | 3,500원 | 등록일 2024.01.21
  • 조합 논리회로와 순서 논리회로의 종류 및 특징(회로) 조사
    -신호의 타이밍에 따라 동기식과 비동기식으로 나누어진다.(3) 동기식과 비동기식-동기식 : 클록 펄스(Clock Pulse)가 들어오는 시점에서 동기화되는 회로이다. ... -비동기식 : 시간에 관계 없이 단지 입력이 변하는 순서에 따라 동작하는 논리회로이다. ... 카운터(Counter) : 클럭 펄스를 세어서 수치를 처리하기 위한 논리 회로 (디지털 회로)이다.?
    리포트 | 4페이지 | 1,000원 | 등록일 2020.12.16
  • 서울시립대 전전설2 Lab-06 예비리포트 (2020 최신)
    이런식으로 총 4번 clock이 rising하면 네 비트 모두에 1이 출력된다.동기식 계수기는 clock이 인가될 때마다 값을 증감하는 회로로 주파수 분주기, 타이밍 제어신호 생성 ... 동기식 계수기는 모든 플립플롭이 공통된 clock에 의해 작동되므로 설계가 용이하고 동작이 빠르다는 장점이 있다. ... cnt=0이 된다. resetN이 falling하는 경우가 아니고 clock이 rising할 경우 정상적으로 카운터는 up된다.
    리포트 | 15페이지 | 1,500원 | 등록일 2021.09.10
  • 서울시립대 전전설2 Lab-06 결과리포트 (2020 최신)
    이런식으로 총 4번 clock이 rising하면 네 비트 모두에 1이 출력된다.동기식 계수기는 clock이 인가될 때마다 값을 증감하는 회로로 주파수 분주기, 타이밍 제어신호 생성 ... 동기식 계수기는 모든 플립플롭이 공통된 clock에 의해 작동되므로 설계가 용이하고 동작이 빠르다는 장점이 있다. ... cnt=0이 된다. resetN이 falling하는 경우가 아니고 clock이 rising할 경우 정상적으로 카운터는 up된다.
    리포트 | 21페이지 | 1,500원 | 등록일 2021.09.10
  • 번역 ) 변경 단계 체크리스트 1)여러분의 식습관이 문제가 있다고 생각하나요 2) 당신은 본인의 식습관을 바꾸려고 노력하고 있나요 동기부여 단계 영양교육 프로그램 개요
    카운터5. 헌신6. ... 번역변경 단계 체크리스트1)여러분의 식습관이 문제가 있다고 생각하나요?2) 당신은 본인의 식습관을 바꾸려고 노력하고 있나요?동기부여 단계 영양교육 프로그램 개요표 1. ... 아니요, 하지만 할 생각입니다. -> 동기 단계로 가세요4. 지금은 아닙니다. -> 동기 단계로 가세요5.
    리포트 | 8페이지 | 5,000원 | 등록일 2023.08.21
  • [A+보고서] 회로실험 플립플롭의 기능 예비보고서
    클럭에 상관 없이 움직이는(데이터를 저장하는) 것은 래치(비동기식)라 하고, 클럭이 riding edge일 때만 데이터를 입력받는 것은 플립플롭(동기식)이라 한다.(1) 기본 flip-flop플립플롭 ... 입력이 들어오면 바로 출력을 보여주는 비동기식 회로이고, 읽기,쓰기가 동시에 이루어지지 않는다.Flip Flop 래치의 한 구성요소이고, 비트의 정보를 기억할 수 있는 회로로 컴퓨터 ... T 플립플롭은 일정의 2진수 카운터로서의 역할을 한다.- 입력파형을 클록형 D 플립플롭에 인가하였을 때, 출력 Q의 파형 모습?
    리포트 | 7페이지 | 1,500원 | 등록일 2022.12.24
  • 카운터 결과
    결과보고사항(1) 표 1의 (a)와 (b)를 이용하여 카운트-업 카운터와 카운트-다운 카운터의 동작을 확인하고 서로 비교하라.비동기식 십진 카운터동기식 십진 카운터는 실험 결과가 ... 동작을 검토하라.(4) 표 4로부터 리플캐리 카운터의 동작을 검토하라.(5) 표 5로부터 비동기식 십진 카운터의 동작을 검토하라.(6) 표 6을 이용해서 동기식 십진 카운터의 동작을 ... 하지만 JK플립플롭이 여러 개가 쓰이므로 회로를 구성할 때 생각을 많이 해야 한다.지난 실험에서는 카운터 실험을 해도 비동기카운터동기카운터사이의 차이점을 잘 몰랐었는데 이번에는
    리포트 | 5페이지 | 1,000원 | 등록일 2018.03.18
  • 4가지 기본형 레지스터의 분류에 속하는 IC들을 정리하시오
    또한, 이러한 IC들은 각각 다양한 특징을 가지고 있으며, 예를 들어 74LS173은 동기식 클럭 입력을 지원하고 74LS670은 디코더 기능을 내장하고 있다는 것이다. ... 카운터는 정해진 범위 내에서 숫자를 세는 레지스터로, 주로 시간 측정 등에 사용된다.
    방송통신대 | 3페이지 | 2,000원 | 등록일 2024.01.01
  • [텀프] 마이크로프로세서 응용 텀프로젝트 - 소형 지게차
    동기 및 목적2 . ... 관련 이론 서보 모터 (SG-90) 서보기구의 조작부로서 제어신호에 의해 부하를 구동하는 장치 서보 모터의 동력원에 따라 전기식 , 공기식 , 유압식 등으로 나눌 수 있음5 . ... 관련 이론 16 Bit Timer/Counter 16Bit Timer/Counter: 타이머 / 카운터 1 과 3 Up/Down Counter - 3 개의 PWM 출력과 1 개의 캡처
    리포트 | 29페이지 | 3,000원 | 등록일 2023.01.05 | 수정일 2023.01.17
  • 정보통신기사 필기 핵심요약정리 2019최신합격자료
    : 같은 2진수가 레지스터 내부에서 순환동기카운터 : 플립플롭 수 / 동작속도 무관MASK 연산 : 다 0으로트랜지스터 달링턴 : 전류이득↑ / 전압이득 FTCCAP구조 : 음성전화 ... : 동시에 작동 / 병렬 / 고속 / 휴지X비동기식 : 차례대로 작동 / 직렬 / 시간 지연그림 상 출력 Y의 위치가 위 : 부논리 (NOT게이트)그림 상 출력 Y의 위치가 아래 ... 결정RC 순서 : 적분회로 (그림 상 r=저항 / c=콘덴서)CR 순서 : 미분회로상승시간:10~90%하강시간:90~10%지연시간:0~50%첨두시간:0~100%정착시간:첨두값2~5동기
    시험자료 | 11페이지 | 2,000원 | 등록일 2019.11.01
  • 2019,20 금오공대 전자공학과 편입시험 기출문제 및 후기
    간략화하는 이유④ 4비트 mux 동작설명⑤ 동기식 비동기카운터 차이점▶ 회로이론 5문제① 테브난등가, 커패시터 포함한 연산증폭기② 역률이랑 무한 유한 ~③ 페이저 회로 문제④ ... 무슨판 유형인지 말하고 이유를 말해라 (이해가 어려웠던 문제)금오공대(제어로봇) 2020년도 편입 기출▶ 논리회로 5문제① 10진수 123을 BCD로 변환② XNOR 동작설명③ 부울식을 ... ▶ 회로이론 5문제① KCL 사용해서 v1 v2 구하기② 계단함수 RL 회로 v(t) 구하기③ 테브냉 회로 구하고 그리기④ 공진주파수 구하기⑤ 증폭기 종류 1개▶ 면접지원동기 간단한
    시험자료 | 3페이지 | 9,000원 | 등록일 2019.12.23 | 수정일 2021.01.03
  • SoC 보고서 - 1.동기통신(PS2)
    보통 동기는 클록 신호로 맞춘다. 데이터 외에 동기 신호도 송수신 해야 하므로 선로가 하나 더 필요하다는 단점이 있지만, 비동기식에 비해 전송효율이 높다는 장점이 있다. ... 그러기 위해서는 edge를 감지하고 카운터를 이용해서 일정시간이 지난 후 신호를 받아들이면 될 것 같다.동기 통신에 대한 개념과 그것을 구현하기 위해 알아야하는 개념, 프로토콜, 하드웨어 ... STOP 상태 중간에 이 값을 만들고 싶다면 카운터를 이용하면 될 것이다.실습에서는 하지 않았지만 패리티 기능을 추가해서 설계를 해봤다.
    리포트 | 22페이지 | 2,500원 | 등록일 2021.09.23
  • 부산대학교 전기전자기초실험 term project
    실험 원리 - 카운터 (counter)- - 래치 (latch), 플립플롭 (flip-flop)- 래치 - 입력이 변화해도 출력의 상태를 유지 ( 메모리 기능 ) 플립플롭 - 래치에 ... 적절한 입력을 가함으로써 래치의 상태를 변경시킬 수 있는 회로 - RS 플립플롭 , JK 플립플롭 , D 플립플롭 , T 플립플롭 등 - 래치 회로에 동기신호 (CLK) 를 추가한 ... 정상적으로 회로가 작동하지 않는 등의 문제가 발생해 스위치식으로 수정 부저 +LED 설치 : 폭탄이 작동할 경우 부저 가 울리지만 , 해체에 성공하는 경우 단순히 타이머가 꺼진다 .
    리포트 | 9페이지 | 3,000원 | 등록일 2020.11.23 | 수정일 2020.11.26
  • (A+)전기전자기초실험 발표-가 시한폭탄의 제작 및 기능 구현
    실험 원리 - 카운터 (counter )- - 래치 (latch), 플립플롭 (flip-flop)- 래치 - 입력이 변화해도 출력의 상태를 유지 ( 메모리 기능 ) 플립플롭 - 래치에 ... 적절한 입력을 가함으로써 래치의 상태를 변경시킬 수 있는 회로 - RS 플립플롭 , JK 플립플롭 , D 플립플롭 , T 플립플롭 등 - 래치 회로에 동기신호 (CLK) 를 추가한 ... 정상적으로 회로가 작동하지 않는 등의 문제가 발생해 스위치식으로 수정 부저 +LED 설치 : 폭탄이 작동할 경우 부저 가 울리지만 , 해체에 성공하는 경우 단순히 타이머가 꺼진다 .
    리포트 | 9페이지 | 3,500원 | 등록일 2020.11.15 | 수정일 2020.12.05
  • [부산대학교][전기공학과][어드벤처디자인] 10장 Flip-flop 및 Shift register & 11장 비동기동기 카운터의 설계(10주차 예비보고서) A+
    어드벤처디자인 예비보고서10장) Flip-flop 및 Shift register11장) 비동기동기 카운터의 설계학과: 전기공학과학번:이름:SR, D, JK 및 T 플립플롭에 대한 ... 비동기식 계수기보다 복잡하지만 동기식 계수기보다는 간단한 회로를 만들 수 있고, 전송지연도 동기식 계수기보다 길지만 비동기식 계수기보다 짧아진다. ... 그러므로 리플 캐리 계수기는 동기식 계수기의 장점을 약간 희생시켜 단점을 보완한 절충식 동기식 계수기라고 볼 수 있다.동기식 십진계수기의 동작방식을 확인하고, 또 다른 회로 구성방법이
    리포트 | 6페이지 | 1,000원 | 등록일 2021.04.25 | 수정일 2021.04.27
  • 부산대 기계공학응용실험 PLC응용실험 보고서 A+
    그리고 솔레노이드 밸브, 파일럿 램프, 모터 가동기, 포지션 스위치 같은 제어 장치들은 출력 인터페이스 터미널에 연결된다. ... 이는 논리항을 나열하고 전체논리표현식으로부터 식들의 제거를 통해 이들을 그룹화하는 것이다. ... 볼 수 있는데, 점차 시장의 증가에 따라 32 I/O 카운터인 마이크로 컨트롤러와 8192 I/O 카운터(초대형 PLC)의 개발이 이루어졌다.
    리포트 | 10페이지 | 2,000원 | 등록일 2020.06.08
  • 광고론 중간고사 예상 서술형 문제
    있다가 카운터에서 그 주문했던 음료를 받아갈 수 있는 시스템이다. ... 너무 이도저도 아닌 중구난방식의 차별화 전략은 오히려 소비자에 마음속에 쉽게 인식되기 어려울 것이기 때문이다.2. ... 스킨푸드는 모든 화장품을 식재료와 엮어서 출시하는데, 일반 화장품과 비슷한 디자인이었다면 그냥 평범한 화장품으로 인식하고 넘어갔을 것이다.
    리포트 | 8페이지 | 2,000원 | 등록일 2021.09.08
  • 논리회로실험_신호등(사거리) 레포트
    동기식 회로를 구성하기 위해 모든 플리플롭에 동일한 clock 값을 공급 해 주었다. ... 각각의 상태를 2bit로 표현하였으며 현재 상태에서 다음 상태로 넘어가기 위해 필요한 입력 값인 EW도로의 차량 유무(V), 7초 카운터(T7), 4초 카운 터(T4), 2초 카운터 ... 카운터는 1Hz로 작동하는 8진 ripple 카운 터를 사용하여도 된다. 녹색, 황색 LED를 사용하라.
    리포트 | 17페이지 | 3,000원 | 등록일 2022.05.31
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:12 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대