• 통큰쿠폰이벤트-통합
  • 통합검색(462)
  • 리포트(430)
  • 시험자료(25)
  • 자기소개서(6)
  • 서식(1)

"부호기와 복호기" 검색결과 201-220 / 462건

  • PCM(펄스 부호 변조(Pulse Code Modulation))
    펄스 부호 변조(Pulse Code Modulation)송신기수신기표본화양자화부호화재생복호화재구성전송로아날로그신호디지털신호디지털신호(pcm신호)표본화 : 필요한 정보를 취하기 위해 ... 과정이며, 원 신호를 시긴 축 상에서 일정한 주기로 추출하는 것양자화 : 표본화에 의해 얻은 PAM신호를 디지털화하기 위해 진폭 축으로 이산값(서로 떨어져 있는값)을 갖도록 처리하는 것부호
    리포트 | 3페이지 | 2,000원 | 등록일 2009.03.02
  • 통신부호화 프로젝트(matlab을 이용한 길쌈부호화)
    수신 시퀀스 (경판정(hard decision) 가정) r=*************0111000 이라고 할 때 그림 1 의 (2,1,3) 길쌈복호기를 통과 후 복호 부호어 시퀀스 U와 ... 메시지 시퀀스 m=101110110100 를 (2,1,3) 부호기에 입력시 발생되는 부호화 시퀀스 U 는(15%)? ... ◈ 프로젝트◎ 수업 중에 배운 (2,1,3), g1=111, g2=101 의 길쌈부호기를 내장한 통신시스템(그림 1 참조)을 Matlab 을 이용 설계하고 설계된 통신 시스템 시뮬레이터를
    리포트 | 23페이지 | 3,000원 | 등록일 2009.03.05
  • 통신시스템의 역사(The History of Communication System)
    디지털 동조 수신기; 칩의 암호화; 단일 칩 부호기와 복호기; 적외선 데이터/컨트롤 링크; 컴팩트 디스크 오디오 플레이어; 200,000워드 광저장 매체, 이더넷(Ethernet) ... 통신의 약력기간연도 Armstrong 이 주파수 변조 개발Alec Reeves가 펄스-부호 변조(PCM) 고안BBC에 의해 TV 방송시작20년간WW Ⅱ194819501956레이더와 ... 전보(telegraph)는 모스에 의해 개발된 전기 통신 시스템의 초기 형태로서 영어 알파벳 문자가 이진 요소의 가변코드 워드로 효과적으로 부호화 될 수 있다는 것을 보여준 주목할
    리포트 | 11페이지 | 1,000원 | 등록일 2011.12.30
  • 무선통신 채널, CDMA와 OFDMA 장단점, Diversity 종류 및 장단점, MIMO채널의 특징 및 구성, Shannon channel capacity
    STBC 송신단에서 입력 정보를 공간 직교성을 갖는 부호를 사용하여 부호화 시켜 안테나 별로 매핑을 시켜 전송합니다. ... STBC는 코딩 이득은 없지만 최적의 다이버시티 이득을 얻으며 STTC에 비해 간단한 복호화 구조를 가집니다. ... 시공간 부호는 주파수공간부호(SFC : Space Frequency Code)에 대한 적용을 하고 있으며 채널의 coherene time이 작은 환경에서는 SFC가 STC보다 좋은
    리포트 | 12페이지 | 3,000원 | 등록일 2010.07.07
  • 실험4 디코더인코더 및 다중화기 역다중화기 7세그먼트 디코더
    dirId=2&docId=7176" 복호기(復號機)라고도 하며, 부호기와 복호기를 함께 코덱(CODEC)이라고 한다■인코더여러 개의 입력 단자와 여러 개의 출력 단자로 이루어져 있으며 ... 인코더는 우리말로 부호기(符號機)라고 한다. 컴퓨터의 HYPERLINK "http://terms.naver.com/item.nhn? ... dirId=2&docId=4566" 디지털 데이터를 부호기를 사용하여 아날로그 데이터로 변환시킨 후 네트워크로 전송하고, 전송된 아날로그 데이터를 HYPERLINK "http://terms.naver.com
    리포트 | 5페이지 | 3,000원 | 등록일 2009.10.26
  • 컴퓨터 정보통신 시스템 요약
    귀환형 부호기에는국부복호기의 각 기준전압과 입력한 PCM의 진폭값을 쫓아다니면서 비교 하므로 오차의 최소가. ... 비트 수를 많게 하면 원 신호를 충실히 부호화할 수 있으나 부호-복호기(CODEC)와 중계기등의 고속화가 필요하다. 따라서 품질과 경제성을 고려해서 비트 수를 결정해야 한다. ... 이러한 부호기에는 병렬형, 계수형, 귀환형, 종속형등의 각종 부호기가 있다.
    리포트 | 14페이지 | 2,000원 | 등록일 2009.09.26
  • DMB 기술과 동향
    압축 기술비트스트림 Demux에서는 입력된 오디오 비트스트림을 역다중화하여 복호화에 필요한 부가정보 등을 추출해내고, 산술 복호화에서는 산술 부호화에 의해 압축되어 있는 비트스트림으로부터 ... MOT, TDC, IP 터널링 등 서비스로는 EPG, BWS, TPEG, Slide show등DMB 단말기 구조주요 부품별 기술 동향RF – Tuner 이동환경에 노출되기 때문에 수신기가 ... DMB 기술과 동향목차DMB 비디오 압축 기술 DMB 오디오 압축 기술 DMB 데이터 압축 기술 DMB 단말기 구조 주요 부품별 기술 동향 국내외 특허출현 동향 출처비디오 압축 기술MPEG
    리포트 | 17페이지 | 2,000원 | 등록일 2008.12.06
  • 펄스부호 변조방식과 복조방식의 TIMS장비를 사용한 구현
    [그림6] PCM 부호화의 예2-4. 복호화란복호화라고 하는 것은 수신 측에서 수신된 PCM 신호를 원래의 아날로그 신호로 다시 바꿔주는 것을 말한다. ... 복호기에는 PCM 신호의 각 비트 값에 비례하는 전압(전류)을 발생하는 회로를 설치해서 입력 PCM신호의 비트부호에 따라 '1'에 해당되는 전압*전류)원의 각 스위치를 동시에 닫아서 ... 기계적 접점이 없으므로 아날로그 교환기에 비하여 유지보수 ◆ 비용이 적게 든다.◆ 전송거리와 전송품질은 거의 상관없다.전송로의 적정구간마다 설치된 재생중계기가 펄스의 유무를 판단하여
    리포트 | 39페이지 | 3,000원 | 등록일 2009.01.12
  • Matlab이용한 JPEG의 이해
    나머지 부분은 복호기과정을 나타낸다.JPEG의 핵심은 8×8 정방화소 블록에 실행되는 DCT 변환과 양자화의 조합에 있다. ... 발생확률의 편중에 따른 압축발생확률이 높은값은 짧은 부호발생확률이 낮은 값에는 긴 부호엔트로피 부호화Ⅱ. ... 정보미디어의 대상에는 예로부터 신문, 잡지, TV, 라디오, 전화 등이 있는데, 최근에는 컴퓨터와 게임기등이 대표적이다.2.
    리포트 | 10페이지 | 1,500원 | 등록일 2011.11.07
  • 부호화이론
    서론RFID에서 리더기와 수동형 태그 사이로 정보 전달을 하기 위해서는 공기 매질(Air Interface)를 통해 정보를 전송 하기 이전에 약속된 형태의 전기 신호로 부호화(Encoding ... 첫째로 DC 성분이 ‘0’이 되고, 둘째로 연속된 ‘1’이 있어도 신호 복호 동기화 하는데 문제가 없다. ... 디지털 통신 레포트요약데이터 통신의 부호화(Encoding) 기본이론을 습득하고, 디지털 데이터 신호의 부호화 종류와 특징을 파악한다.1.
    리포트 | 4페이지 | 1,000원 | 등록일 2010.05.23
  • 게이트와 게이트웨이, 게이트와 다이오드논리게이트,논리게이트, 게이트와 NOT(반전회로)게이트,NAND(부정 논리곱 회로)게이트, 게이트와 AND(논리곱회로)게이트,NOR게이트
    WML 컨텐츠의 부호화/복호화3. HTML을 HDML, WML로의 변환4. 접근 제어5. 보안6. WMLScript 컴파일링Ⅲ. 게이트와 다이오드논리게이트1. ... 단말기를 통한 사용자 판단을 위해서는 접근 가능한 사용자의 단말기인지에 대한 판단이 요구되는데 이를 위해서는 단말기의 MSISDN number(혹은 전화 번호) 또는 IP 주소 등의 ... 단말기의 식별을 위한 정보로 무엇을 이용할 지는 bearer type에 따라 좌우된다.
    리포트 | 9페이지 | 5,000원 | 등록일 2013.03.25
  • MPEG1, MPEG2, MPEG4, MPEG7 에 대한 레포트
    그러나 복호기 측면에서 하드웨어의 부담이 줄어들기 때문에 경제적인 가격의 수신기를 개발할 수 있다. ... SNR 분해능력을 사용하면 화질이 다른 두 종류의 영상을 쉽게 부호화 또는 복호화 할 수 있으며, 전송로에 문제가 생겨 전체 데이터를 전송할 수 없는 경우에도 기본계층의 정보만 사용하여 ... 예를 들면, 오디오와 비디오의 계층화, 부호화 및 복호화 지연, 저장 및 전송시의 잡음에 의한 에러 대책, MPEG-1 및 H.261 표준과의 순방향 호환성(Forward Compatibility
    리포트 | 12페이지 | 2,000원 | 등록일 2008.07.13
  • labvolt(랩볼트)를 이용한 PCM 변조, 복조
    비트 수를 많게 하면 원신호를 충실히 부호화할 수 있으나 부호-복호기 (CODEC)와 중계기등의 고속화가 필요하다.따라서 품질과 경제성을 고려해서 비트 수를 결정해야 한다. ... 귀환형 부호기에는 국부복호기의 각 기준전압과 입력한 PCM의 진폭값을 쫓아다니면서 비교하므로 오차가 최소가 되는 값을 구하고, PCM신호는 상위비트로부터 순차적으로 해당비트에 "1" ... , 재생중계, 복호화 및 여파기의 각 부분으로 되어있다.
    리포트 | 9페이지 | 1,000원 | 등록일 2007.11.08
  • 디코더 인코더 설계
    한다 . - 간단히 말해서 부호화된 정보를 복호화하는데 사용2 장 . ... 내부에서 디지털로 코드화된 데이터를 해독하여 그에 대응되는 아날로그 신호로 바꿔주는 컴퓨터 회로 - 인코더의 반대개념으로 디코더라고도 하고 , 데이터를 본래 형태로 바꿔준다는 의미에서 복호기라고도 ... 관련 기술 및 이론 디코더 (Decoder) - 간단히 말해서 부호화된 정보를 복호화하는데 사용 - N bit 2 진 code = 2 n 개의 서로 다른 정보 - 3x8 Decoder
    리포트 | 26페이지 | 2,000원 | 등록일 2010.09.09
  • 정지영상 압축기술
    부호책은 코드-워드와 이것에 해당하는 데이터 패턴간의 관계가 설정되어야 하며, 부호기와 복호기는 동일한 부호책을 사용하여야 한다. ... 통해 양자화된 영상정보의 크기를 더욱 줄인다. ... 복호화는 부호화의 3 단계를 역으로 실행하여 원래 신호를 얻는다.2) JPEG을 이용한 응용JPEG은 컬러 정지영상을 이용하는 각종 응용에 널리 사용될 수 있다.
    리포트 | 15페이지 | 2,000원 | 등록일 2009.06.21
  • 삼성과 애플 두 거인의 특허전쟁
    Transport format Combination Indicator In CDMA Mobile communication System- 부호분할다중접속 이동통신시스템의 전송율 정보 부호화 ... 및 복호화 장치 및 방법74866440909543Method And Apparatus For Transmitting/Receiving Control Information in Mobile ... '아이폰'의 전성기를 이끌었던 스티브 잡스가 없는 현 애플의 상황에서는 마땅한 전략이 없기에 소송이라는 전략을 취함으로써 삼성전자를 견제해야 하는 것이다.
    리포트 | 11페이지 | 1,500원 | 등록일 2013.10.16 | 수정일 2013.12.03
  • 생명공학나노공학정보통신공학등과학기술의발전현황이우리일상에미치는영향에대하여-fp
    신호로 역 변환하는 과정을 복호화라 하며, 그 장비를 복호화기(Decoder)라 하며 이 두 기능이 하나의 기기에서 이루어지며 이를 코덱(Codec)이라고 한다. ... 나노의 세계는 물질의 최소 단위로 알려진 분자 또는 원자의 세계로 진입하여형태를 디지털로 변환하는 과정을 부호화라 하며 그 장비를 부호화기(Coder)라 하고, 디지털 데이타를 아날로그 ... 이 현미경들은 원자 크기 이하 수준의 강력한 분해 기능을 지니고 있으며 원자나 분자 하나에 정보를 기억시킬 수 있습니다.
    리포트 | 15페이지 | 3,000원 | 등록일 2010.11.07
  • 강의내용에 있는 내용을 제외한 의료기기에 사용되고 있는 과학기술을 3가지, 음성 통신 및 영상통신의 전달 과정,유비쿼터스
    음성 통신 및 영상통신의 전달 과정에 대하여 조사하여 보자.①음성통신음성 코덱의 복호화기에서 합성되어 나온 신호로부터 인식 파라미터를 추출하는 방법, 음성코딩에 사용되는 파라미터를 ... 동시 전송할 수 있어, 낮은 비트 속도로 양질의 화상 통신을 실현한다.또한 이진 영상 부호화 기법, 정지 영상 부호화 기법, 통신용 동영상 부호화 기법, 고화질 동영상 부호화 기법 ... , 합성형 부호화 기법 등을 이용해 데이터를 전달한다.3.
    리포트 | 4페이지 | 1,000원 | 등록일 2010.03.10 | 수정일 2016.06.14
  • 멀티미디어 컨텐츠에 초점을 맞춘 영상 압축 기술
    단,복호처리는 실시간성을 중시한다.o 화면크기 해상도에는 자유도를 갖게하되, NTSC와 PAL방식모두에 친화성이 좋은 부호화대상 화면을 갖도록 한다. ... 예를 들어, 비트열의 일부만을 취하여 그로부터 본래크기의 화면보다 작은 해상도의 디스플레이를 가능케한다.- MPEG-2의 복호기는 MPEG-1 비트열도 복호할 수 있다.MPEG-1과 ... 비가역부호화DCT를 기본으로 하고 있어 본래의 영상을 완전히 재현시킬 수는 없지만 높은 압축률에서 충분히 실용적인 복호화질을 얻을 수 있다.- 기본방식 베이스라인시스템: 1화소 1색성분당
    리포트 | 4페이지 | 1,000원 | 등록일 2007.12.09
  • [매스컴] 디지털 오디오 포맷
    최종 선정돤 삼성전자는 "복호화 방법 및 장치(압축된 동영상 파일을 복원하는 방법)" 기술을 포함한 4건의 특허가 비쥬얼 파트의 핵심 특허업체로 확정되었다.국내 업체로는 삼성전자와 ... 이렇게 여러 채널에서 소리가 나려면 영화를 만들 때 돌비 디지털을 쓸 수 있는 녹음기로 5.1 채널을 녹음해야 한다. ... 아날로그 오디오(analog audio) A/D(analog-to-digital) 변환기를 통하여 1초에 표본화 주파수만큼 샘플링하여 양자화 비트 수에 해당하는 0과 1이라는 디지털
    리포트 | 22페이지 | 2,000원 | 등록일 2011.03.31
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:12 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대