• 통큰쿠폰이벤트-통합
  • 통합검색(462)
  • 리포트(430)
  • 시험자료(25)
  • 자기소개서(6)
  • 서식(1)

"부호기와 복호기" 검색결과 161-180 / 462건

  • 선형블록 부호, 터보부호
    벡터가 전송중 잡음 등에 오염이 되더라도 여전히 높은 확률로 바르게 복호화 될 수 있도록, 부호어들이 가능한 한 멀리 떨어져 있어야 한다.만약 k가 아주 커지면 조견표를 이용한 부호기의 ... 부호기는 주어진 알파벳으로 구성된 k메세지 디지트의 블록을 더 긴 n부호어 디지트의 블록으로 변환한다. ... 부호의 직렬연접은 위성탐사용 송신기와 같은 전력제한 시스템에 주로 사용되고 있다.
    리포트 | 2페이지 | 1,000원 | 등록일 2010.12.17
  • 동영상파일압축기술 MPEG(엠펙)의 정의, 동영상파일압축기술 MPEG(엠펙)의 종류, 동영상파일압축기술 MPEG(엠펙)의 구성, 동영상파일압축기술 MPEG(엠펙)와 HDTV 분석
    MPEG-Video(11172-2)비디오 스트림의 압축 및 부호화/복호화에 대한 문서3. ... MPEG-Audio(11172-3)오디오 스트림의 압축 및 부호화/복호화에 대한 문서이 세 개의 표준이 가장 중성방송(DBS:Direct Broadcasting via Satellite ... 또한 고선명 TV신호는 반드시 동일프로그램을 현행TV방식으로도 송신함으로써 현재의 수상기로 고선명TV프로그램을 수신할 수 있도록 하는 동시방송을 염두에 두었다.이런 가운데 GI사는
    리포트 | 13페이지 | 5,000원 | 등록일 2013.04.11
  • 5장 감산기, 6장 인코더와 디코더
    디코더디코더(decoder : 복호기)는 원래 암호를 해독하는 의미를 지니고 있다. ... 감산기1. ... 이 말은 부호를 암호화하는 뜻을 지니고도 있다. 인코더는 2n개의 이하의 입력과 n개의 출력선을 가진다.
    리포트 | 8페이지 | 2,000원 | 등록일 2012.12.10
  • 압축과 신장
    직선 양자 부호기 직선 양자화 복호기 신장기 압축부호화 - 복호 비직선 부호화 복조압축과 신장압축과 신장 압신 법칙 ( Companding Law) 아날로그 신호를 양자화할 때에는 ... 압축기 사용 후 직선 양자화 부호화 ( 등가적 비직선 양자 화 PCM 방식 적용 ) 비선형 양자화 – 2 차 함수 양자화 , 부호화를 하는 부호기 자체의 비직선성 이용 . ... 네트워크 전송 복호화기 (decoder) 복구된 신호 ( 영상 , 음성 등 ) 압축의 개념도압축과 신장 선형 ( 균일 ) 양자화 – 1 차 함수 특성의 스텝폭이 전 입력 신호 레벨에
    리포트 | 16페이지 | 2,000원 | 등록일 2010.09.28
  • MUX & DEMUX (예비)
    데이터를 본래의 형태로 바꿔준다고 해서 복호기(復號機)라고도 하며, 부호기와 복호기를 함께 코덱(CODEC)이라고 한다.? ... 인코더는 우리말로 부호기(符號機)라고 한다. ... 인코더디지털 전자회로에서 어떤 부호계열의 신호를 다른 부호계열의 신호로 바꾸는 변환기.여러 개의 입력 단자와 여러 개의 출력 단자로 이루어져 있으며, 어느 1개의 입력 단자에 “1”
    리포트 | 4페이지 | 1,000원 | 등록일 2010.07.27
  • [디지털통신] 채널부호
    선형 블록부호기에서는 부호어의 길이가 길어지면 부호기와 복호기의 하드웨어 구현은 그만큼 크게 불어난다.순회부호부호의 구조가 순환식이여서 블록부호의 한 부류이면서 하드웨어가 간결해지는 ... 컨벌루션 부호기는 아래와 같이 세가지 정수에 의하여 표현되며, 미리 설정한 가장 최근의 몇개의 비트를 사용하기 위해 기억 소자(쉬프트 레지스터)와 mod-2가산기 그리고 출력 전화 ... 매 클록마다 1비트 이상의 비트로 천이되어 nro의 가산기 출력에서 차례로 전환된다.? 부호화 율은?
    리포트 | 7페이지 | 1,500원 | 등록일 2012.06.18
  • 엠펙(동영상파일압축기술 MPEG) 정의, 엠펙(동영상파일압축기술 MPEG) 표준화, 엠펙(동영상파일압축기술 MPEG)무손실데이터압축, 엠펙(동영상파일압축기술 MPEG)장면전환검출
    무손실 부호화는 압축률이 낮으나, 복호에 의해 원래 데이터가 완전히 재생되어야 하는 분야에 쓰인다. ... 이 세 가지는 특성이 다르므로 부호화하고자 하는 데이터의 성질에 따라 알맞게 선택해야 한다.PCM 데이터에 대한차동 부호기로서의 DPCM은 PCM 입력치와 과거 PCM 값들에 기초한 ... 비록 움직이는 영상이라 할지라도 그 화소가 이전 프레임에서 현재 프레임까지 움직인 위치를 찾으면 프레임 사이의 상관성분에 양자화 계단크기를 더욱 크게 하여 데이터를 압축할 필요가 있다
    리포트 | 8페이지 | 5,000원 | 등록일 2013.03.28
  • post5 펄스 부호 변조(PCM)
    이것을 시간 간격을 10us/DIV로 늘리면 메시지 신호의 크기가 달라지기 때문에 각 PCM의 8비트 코드가 다르게 나타나게 된다.Figure2CODEC 1 의 SX 신호(CH1)와 ... )로 복호화Transmit Filter : 0.2-3.5kHz를 통과시키는 band pass filterSample/Hold : 매초 8kHz씩 필터를 통과한 아날로그 신호 진폭을 ... CODEC 1에 입력된 메시지 신호의 진폭을 감소 시키기 때문에 SAMPLE되는 값들도 작아지게 되고 이에 따라 QUANTUM 값이 변하기 때문에 PCM코드도 변하게 된다.시간조절기를
    리포트 | 7페이지 | 1,000원 | 등록일 2012.01.26
  • 멀티미디어개론레포트
    복호화 지연, 저장 및 전송 시의 잡음에 의한 에러 대책- MPEG-1 및 H.261 표준과의 순방향 호환성- 랜덤 엑세스 및 채널 변경- 앞/뒤로 가기/정지/빨리 가기 등의 ... - 각 화면을 프레임 단위나 필드 단위로 처리.- Progressive Scanning의 영상뿐만 아니라 Interlaced Scanning의 영상도 처리할 수 있음.- 화면의 크기나 ... 특징들로 기술- 방법 : 낮은 추상 단계 기술(특징이 완전히 자동으로 추출)높은 추상 단계 기술(인간에 의한 상호작용 이용)- 낮은 추상 단계 기술- 비디오의 경우 = 모양, 크기,
    리포트 | 5페이지 | 1,000원 | 등록일 2013.01.22
  • Decoder 예비보고서
    (2) 부호부호기는 복호기의 반대기능을 하는 변환회로로서, 2-to-4 line 복호기의 반대기능을 가진 것이다. ... 목적조합논리회로의 대표적인 예가 되는 복호기부호기의 동작 원리 및 특성을 확인하고 부호 변환기의 동작을 살펴본다.3. ... 일반적으로 보통의 디지털 논리회로는 처음부터 이진 신호로 부호화하여 신호를 발생시키기 때문에 집적회로화되어 있는 부호기는 복호기만큼 많지 않다.
    리포트 | 2페이지 | 1,000원 | 등록일 2009.09.26
  • 무선LAN(무선 랜)과 무선LAN시장, 무선LAN(무선 랜)과 무선LAN산업,무선LAN기술, 무선LAN(무선 랜)과 무선LAN보안,공중무선 랜, 무선LAN(무선랜)과 초고속무선랜
    스크램블링된 데이터는 전송률에 따라 서 부호율이 1/2, 9/16, 2/3, 3/4인 컨벌루셔널 부호기에 의해 부호화 되는데, 부호율이 1/2이고 구속장이 7인 컨벌루셔널 부호기 하나가 ... 컨벌루셔널 부호기를 거친 데이터는 블록크기가 한 OFDM 심볼의 비트 수를 갖는 블록 인터리버에 의해서 인터리빙 된다.인터리빙은 두 단계에 걸쳐서 이루어지는데 첫 단계는 인접하는 비트가 ... 수신측에서는 패킷을 복호화하고 수신된 메시지에 대해 CRC를 재계산 한다. 재계산 된 CRC는 전송 측에서 보낸 CRC와 비교되어서, 무결성의 파괴 여부를 판단하게 된다.
    리포트 | 10페이지 | 5,000원 | 등록일 2013.04.26
  • PCM 잡음의 특성에 대해 설명하시오
    전송후 복호화, 필터링하여 원신호를 복원함나. ... 통화시 잡음- 기본잡음 : 무통화시의 잡음이 그대로 옮겨와 기본잡음이 됨- 여파잡음 : 수신측 PAM 펄스의 복조기 여파기 억압 불충분에 의한 잡음- 표본화 잡음 : 나이퀴스트 표본화 ... PCM 잡음의 종류- PCM 반송전화방식은 전송로의 잡음보다 단국장치의 잡음이 문제가 됨- 대표적인 잡음으로 무통화시 잡음, 통화시 잡음, 오부호 잡음등이 있음가.
    리포트 | 2페이지 | 1,000원 | 등록일 2011.01.31
  • 5. 인코더 및 인코더 - 결과레포트
    L0 = D1 + D3- L1 = D2 + D3▶ Decoder- n개의 입력선으로부터2 ^{n}개를 출력함- 해독기 혹은 복호기 라고도 부름- L0 = A'B'- L1 = A'B ... 관련 이론▶ Encoder-2 ^{n} 을 입력하면 n개의 출력을 얻는 장치- 신호 전송시 Encoding 하면 전선수가 줄어듬- 10진수를 2진수로 변환시킴- 부호기 라고도 부름-
    리포트 | 17페이지 | 1,500원 | 등록일 2013.10.16
  • 3e비즈니스공통)네트워크를구성하는시스템을구분하는 물리적기준과세가지 시스템을제시0k
    FTTH가 구축될 경우 가입자들은 단말장치만 업그레이드하면 영화 한편을 담은 1기가급 정보를 몇 초만에 주고받을 수 있다. ... 직접 보유하거나 통신사업자의 회선을 통해 단순한 전송이 아닌 정보축적, 가공, 변화처리 등의 부가가치를 부여한 음성 또는 데이터 정보를 제공하는 서비스fh 프로토콜, 부호, 형태, ... 데이터를 암호화하는 방법에는 공개키와 비밀키 방식이 있다.비밀키 암호시스템이 송수신자 양측에서 똑같은 비밀키를 공유하는 데 반해 공개키는 암호화와 복호화키가 다르기 때문에 데이터를
    리포트 | 5페이지 | 4,000원 | 등록일 2013.03.05
  • 광케이블(광섬유케이블)의 정의, 광케이블(광섬유케이블)의 구성요소, 광케이블(광섬유케이블)과 광케이블망, 광케이블(광섬유케이블)과 광섬유케이블, 광케이블(광섬유케이블)과 광통신
    , 광원, 광케이블, 광검출기 및 복호기로 구성되어 있다. ... 노드는 자신에게 부여된 고유의 주파수대역, 파장, 타임슬롯 또는 셀에만 접근이 가능하도록 한다면 물리적으로는 링이 되더라도 논리적으로는 스타형태가 될 수 있다.일반적으로 광전송장치는 부호기 ... 이러한 통신계는 전송거리에 있어서는 크게 향상 되지 못했지만 신호를 부호화라는 관점에서 디지 탈 광통신의 시조로 볼 수 있다.
    리포트 | 5페이지 | 5,000원 | 등록일 2013.03.27
  • 신호파형(2).
    코드화 (A/D 변환 ) PCM 부호 펄스 성형기 Serial to Parallel 변환 복호화 (D/A 변환 ) 정보 신호 (Analog) PCM 화 과정 PCM 화 과정 ( 실제 ... 회로 ) PAM PCM 복호화 과정6. ... 복호화 ADC (Analog Digital how}
    리포트 | 43페이지 | 2,500원 | 등록일 2010.09.28
  • [디지털] 디지털공학실험-2
    복호기(decoder)복호기(decoder)는 이진 부호(Binary code), BCD 부호(Binary Coded Decimal code), 기타 여러 가지 부호들을 부호가 없는 ... 처은부터 이진법등을 부호화하여 신호를 발생시키기 때문에 집적회로화 되어 있는 부호기는 복호기(decoder)만큼 많지 않다. ... (encoder)부호기(encoder)는 복호기(decoder)의 상반된 역할을 하는 변환회로이다.부호화 되지 않은 입력을 받아서 부호화 하여 출력으로 내보낸다.4-to-2 Line
    리포트 | 4페이지 | 1,000원 | 등록일 2002.10.09
  • 양자화 방식
    양자화 방식압축과 신장 비직선 양자화 방식 양자화 방식 출 력 신 호 0 입력 신호 압 , 신 특성 압축 부호화 - 복호 압축기 직선 양자 부호기 직선 양자화 복호기 신장기최적 양자화 ... 이에 기초하여 필요한 증폭기 이득을 계산 . 계산된 추정값에 의해서 전치 증폭기의 이득을 제어하고 , 얻어진 증폭 기 출력을 고정양자화기에 통과시킴 . ... 후향 추정 방식 (Backward Estimation Scheme) 입력 신호 분산이 고정 양자화기의 스텝 폭에 정합하도록 증폭기 이 득을 연속적으로 제어하는 방식 .
    리포트 | 10페이지 | 2,000원 | 등록일 2010.09.28
  • 멀티미디어 데이터 전송을 위한 압축
    이 방법으로 고주파 성분들은 거의 0 이나 0 이 근접한 양수 , 혹은 음수가 된다 . - 엔트로피 부호화 : 엔트로피 부호화 8×8 격자의 성분들을 저주파부터 지그재그로 일렬로 세운 ... 두 가지 모두 MPEG-1 오디오를 복호화 할 수 있는 하위 호환성의 특성을 가지고 있다 . MPEG-1 과 동일한 압축율을 가진다고 봐도 무방하다 . ... 반복된 0 에만 RLE 를 적용하고 , 그 결과들에 대해 허프만 부호화를 한다 .3.
    리포트 | 28페이지 | 1,000원 | 등록일 2013.09.24 | 수정일 2013.11.14
  • Viterbi decoder Matlab 설계
    길쌈부호기와 Viterbi decoder 트렐리스도Fig 2. ... 길쌈 부호기에 입력으로 1 비트(0 or 1)가 들어오면 출력으로 2 비트 부호어가 나가게 되어있으므로, Viterbi decoder에서는 2 비트 부호어를 단위로 하여 데이터를 처리한다 ... 마지막으로, ACS 연산에서 수행된 경로에 관한 정보를 이용해 역추적 방법으로 데이터를 복호하는 것이다.매트랩 소스코드clear;clc;%% make the data%data = randint
    리포트 | 5페이지 | 2,000원 | 등록일 2012.11.02
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:12 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대