• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(5,042)
  • 리포트(4,319)
  • 자기소개서(441)
  • 시험자료(160)
  • 방송통신대(70)
  • 논문(23)
  • 서식(16)
  • ppt테마(9)
  • 이력서(4)

"전자전기컴퓨터설계" 검색결과 201-220 / 5,042건

  • 서울시립대학교 전자전기컴퓨터설계실험2 제12주 Project
    Project Report전자전기컴퓨터설계실험ⅡDigital Watch실험 날짜2016. 12.12학번이름Professor조교BackgroundBits Information of LCDLCD
    리포트 | 16페이지 | 3,000원 | 등록일 2017.09.04
  • 전자전기컴퓨터설계실험1(전전설1) (1) 계측기1(전압전류원, DVM)
    계측기1(전압전류원, DVM)post-lab report계측기1(전압전류원, DVM)post-lab report과목명전자전기컴퓨터설계실험1담당 교수전공 학부전자전기컴퓨터공학부학번성명제출 ... 「계측기1(전압전류원, DVM)」, 『서울시립대학교 전자전기컴퓨터공학부』. ... 콘덴서(capacitor)(1)전기적 기호[사진 13]콘덴서의 전기적 기호(2)콘덴서의 종류[사진 14] 콘덴서의 종류(3)콘덴서 읽는 법(3 digits)(a)abc = (a ×
    리포트 | 13페이지 | 2,000원 | 등록일 2019.05.15 | 수정일 2021.04.29
  • 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습7 [결과레포트]
    설계한다.PIEZO에 대해 이해하고 학습한다.PIEZO 컨트롤러를 설계한다.나. ... 설계PreLab에서 설계한 PIEZO와 7-Segment를 응용하여 설계한 Piano의 Verilog code는 아래 그림9~13과 같다.그림 SEQ 10 Piano Verilog ... 또한 다양한 logic을 올바른 Verilog code와 PIN설정으로 한번에 구현할 수 있음을 공부하며, 앞으로 더 다양한 code를 설계할 수 있게 되었다.또한 실험시에 설계
    리포트 | 20페이지 | 1,000원 | 등록일 2017.10.19
  • 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습2 [예비레포트]
    설계기술이나 공정과 무관한 설계낮은 설계 비용표준 HDL 및 사용자의 확대효율적인 설계관리Verilog HDL 문법 : 어휘규칙여백 : 어휘 토큰들을 분리하기 위해 사용되는 경우를 ... Essential Backgrounds (Required theory) for this LabVerilog HDL 문법 : HDL 기반 설계의 장점설계 시간의 단축설계의 질 향상특정
    리포트 | 19페이지 | 1,000원 | 등록일 2017.10.19
  • 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습3 [예비레포트]
    설계한다.나. ... : 버튼 스위치 3출력 D : LED1출력 B1 : LED2먼저 전감산기를 설계하기에 앞서 반감산기를 설계하였다.Gate Primitive Modeling으로 반감산기를 설계하였다.반감산기 ... Adder)인 4비트 가산기를 설계하여 보자.그림 SEQ 그림 \* ARABIC 6 4비트 가산기4비트 가산기 설계1.
    리포트 | 19페이지 | 1,000원 | 등록일 2017.10.19
  • 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습5 [결과레포트]
    Purpose of this Lab조합 논리 회로를 응용한 설계를 한다.플립플롭 회로를 이해하고 학습한다.데이터 전송 회로를 설계한다.직렬입력 및 병렬출력 회로를 설계한다.나. ... of the Lab 1. : 4bit Shift Register설계 및 HBE_COMBO를 통한 확인.PreLab에서 설계한 D-FlipFlop을 이용하여 Gate Primitive ... 통해 확인하였으며 각각의 동작을 이론과 비교하여 동일하게 구동됨을 확인하였다.이를 통해 Xilinx프로그램으로 설계한 4bit Shift Register가 올바르게 설계되었음을 확인하였다.Procedure
    리포트 | 19페이지 | 1,000원 | 등록일 2017.10.19
  • 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습1 [결과레포트]
    기본적인 Xilinx 프로그램의 사용법을 숙지하고, 이를 확인하기 위해 Simulation을 컴퓨터 자체적으로 확인하며, 추가적으로 iMPACT기능을 통해 직접적으로 디바이스와 연결하여 ... the Lab 2.Lab 1에서 설계한 Half Adder를 아래 그림과 같이 Module Instance Symbol로 호출하여 1-bit Full Adder를 설계하시오.(3) ... Procedure of the Lab 3.위에서 설계한 1-bit Full Adder를 이용하여 4-bits Ripple Carry Full Adder를 설계하시오.입력 : A[3:
    리포트 | 25페이지 | 1,000원 | 등록일 2017.10.19
  • 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습3 [결과레포트]
    설계한다.나. ... 프로그래밍을 통해 프로그램을 최종 확인한다.응용과제 : 감산기 설계감산기 : 입력 데이터로 표시되는 수의 차를 출력 데이터로서 표현응용과제에서는 전감산기를 설계해야한다. ... Purpose of this Lab연산회로를 이해하고 학습한다연산회로를 직접 설계하며 구동을 확인한다.반가산기, 전가산기, 4비트 가산기를 설계한다..학습한 내용을 응용하여 감산기를
    리포트 | 31페이지 | 1,000원 | 등록일 2017.10.19
  • 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습6 [예비레포트]
    Reference (참고문헌)[1] Lab_07.ppt2013440043전자전기컴퓨터공학부문범우 PAGE \* MERGEFORMAT 2 ... Purpose of this Lab스테이트 머신(State Machine)에 대해 이해하고 학습한다.Moore Machine 회로를 설계한다.Mealy Machine 회로를 설계한다.나 ... 실험을통해구하고자하는데이터와이를획득하기위한실험순서(1) Procedure of the Lab 1.4bit up counter 설계Input : Clock, Reset, Up, Load
    리포트 | 9페이지 | 1,000원 | 등록일 2017.10.19
  • 전자전기컴퓨터설계2_HBE-COMBO ll VerilogHDL 실습7[예비레포트]
    Reference (참고문헌)[1] Lab_08.ppt2013440043전자전기컴퓨터공학부문범우 PAGE \* MERGEFORMAT 12 ... 설계한다.PIEZO에 대해 이해하고 학습한다.PIEZO 컨트롤러를 설계한다.나. ... Purpose of this Lab7-Segment Decoder에 대해 이해하고 학습한다.Static 7-Segment 컨트롤러를 설계한다.Dynamic 7-Segment 컨트롤러를
    리포트 | 14페이지 | 1,000원 | 등록일 2017.10.19
  • 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습5 [예비레포트]
    Reference (참고문헌)[1] Lab_06.ppt[2] Lab06_In Lab.ppt2013440043전자전기컴퓨터공학부문범우 PAGE \* MERGEFORMAT 5 ... Purpose of this Lab조합 논리 회로를 응용한 설계를 한다.플립플롭 회로를 이해하고 학습한다.데이터 전송 회로를 설계한다.직렬입력 및 병렬출력 회로를 설계한다.나. ... Switch 1입력 RST : RESET_N출력 Q : LED1, LED2, LED3, LED44bit Shift Register 를 설계 하기에 앞서 D-FlipFlop을 설계하였다.Behavioral
    리포트 | 16페이지 | 1,000원 | 등록일 2017.10.19
  • 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습4 [예비레포트]
    mux-e-multiplexer-right-similar-1-bit-2-1-mux-left-except-former-selects-among-2-q318427[3] Lab_05.ppt2013440043전자전기컴퓨터공학부문범우 ... Purpose of this Lab조합 논리 회로를 이해하고 학습한다.조합 논리 회로를 직접 설계한다...학습한 내용을 응용하여 조합 논리 회로를 설계한다.나. ... Switch 3,4입력 S : BUS Switch 8출력 Q : LED1, LED22bit 2:1 MUX를 설계하기 위해 먼저 1bit 2:1 MUX를 설계하였다.Behavioral
    리포트 | 15페이지 | 1,000원 | 등록일 2017.10.19
  • 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습8 [예비레포트]
    Reference (참고문헌)[1] Lab_09.ppt2013440043전자전기컴퓨터공학부문범우 PAGE \* MERGEFORMAT 3 ... Purpose of this LabText LCD에 대해 이해하고 학습한다.Text LCD에 문자를 표시할 수 있는 회로를 설계한다.Text LCD에 자신의 학번과 이름을 표시할 수 ... 문자를 표시하는 장치7-Segment 등의 표시 장치와 다르게, Text LCD에는 액정에 문자를 표시하기 위한 컨트롤러가 같이 구성되어 있기 때문에, 이 컨트롤러를 제어하는 로직이 설계되어야
    리포트 | 18페이지 | 1,000원 | 등록일 2017.10.19
  • 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습4 [결과레포트]
    to Exess3 code를 설계하였다. ... Purpose of this Lab조합 논리 회로를 이해하고 학습한다.조합 논리 회로를 직접 설계한다...학습한 내용을 응용하여 조합 논리 회로를 설계한다.나. ... code를 Gate Primitive Modeling으로 설계하였다.그리고 설계된 4bit_BCD to Exess3 code를 이용하여, 8bit_BCD to Exess3 code를
    리포트 | 19페이지 | 1,000원 | 등록일 2017.10.19
  • 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습8 [결과레포트]
    Purpose of this LabText LCD에 대해 이해하고 학습한다.Text LCD에 문자를 표시할 수 있는 회로를 설계한다.Text LCD에 자신의 학번과 이름을 표시할 수 ... Results of this Lab (실험결과)실험결과이번 실험에서는 Xilinx 프로그램을 이용하여, PreLab에서 설계한 Text_LCD에 학번과 이름을 출력하는 Verilog ... Studies from this Lab이번 실험에 있어서, Text LCD_Up & Down Counter를 Verilog code로 설계하며 각각을 한번 더 복습하며, always
    리포트 | 22페이지 | 1,000원 | 등록일 2017.10.19
  • 8주차 결과 전자전기컴퓨터설계 실험 3
    -8주차 결과-(Mosfet-2)학과전자전기컴퓨터공학부학번2009440138이름정필웅담당교수김희식 교수님담당조교주재홍 조교님제출날짜2014.5.9 -8주차 결과--목차-서론(Introduction ... NMOS와 PMOS와 저항소자를 이용하여 논리회로를 직접 설계하여 결과값을 확인 할 수 있었다. ... 이 공간은 전자의 이동통로로서 N채널이 되고, 특성상 P-Substrate와 반대로 되었다 해서 Inversion Layer이라고도 한다.
    리포트 | 19페이지 | 1,500원 | 등록일 2014.07.01 | 수정일 2014.07.03
  • 시립대 전자전기컴퓨터설계실험1 2주차 예비레포트
    전자전기컴퓨터공학 설계 및 실험 I개요와 계측기 1 (전압전류원, DVM)_pre2015년 3월 8일내용 TOC \o "1-3" \h \z \u Hyperlink \l "_Toc413611577 ... 콘덴서의 전기적 기호는 다음과 같다.콘덴서를 읽을 법은 첫번째 자리와 두번째 자리가 값이고 세번 째 자리는 승수를 나타낸다. 즉, 이다.
    리포트 | 11페이지 | 1,500원 | 등록일 2016.03.06
  • 시립대 전자전기컴퓨터설계실험1 3주차 결과레포트
    전자전기컴퓨터공학 설계 및 실험 I신호발생기, 오실로스코프의 사용법 1_post제출날짜 : 2015년 3월 23일담당교수 :담당조교 :학번 :이름 :내용 TOC \o "1-3" \h
    리포트 | 21페이지 | 1,500원 | 등록일 2016.03.06
  • 시립대 전자전기컴퓨터설계실험1 10주차 결과레포트
    RC, RL, RLC 회로의 시간영역응답_post제출날짜 : 2015년 5월 18일담당교수 :담당조교 :학번 :이름 :서론실험 목적이론적 배경실험장비 및 부품실험결과토론결론참고문헌서론실험 목적RC, RL 회로 그리고 RLC 회로를 구현함으로써 time constant에..
    리포트 | 28페이지 | 2,500원 | 등록일 2016.03.06
  • 시립대 전자전기컴퓨터설계실험1 10주차 예비레포트
    RC, RL, RLC 회로의 시간영역응답_pre제출날짜 : 2015년 5월 11일담당교수 :담당조교 :학번 :이름 :서론실험 목적이론적 배경실험장비 및 부품실험방법사전조사실험방법참고문헌서론실험 목적RC, RL 회로 그리고 RLC 회로를 구현함으로써 time consta..
    리포트 | 26페이지 | 2,500원 | 등록일 2016.03.06
AI 챗봇
2024년 08월 31일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:24 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대