• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(48,988)
  • 리포트(42,624)
  • 자기소개서(2,384)
  • 시험자료(2,191)
  • 방송통신대(1,163)
  • 논문(403)
  • 서식(157)
  • 기업보고서(40)
  • ppt테마(15)
  • 이력서(6)
  • 노하우(5)

"제어기" 검색결과 201-220 / 48,988건

  • 전기전자실험 - 전압제어발진기 (Voltage–Controlled Oscillator)
    제목 : 전압제어발진기(Voltage?Controlled Oscillator)1. ... 이것이 바로 전압제어발진기의 원리이다.사용되는 공진기로는 LC 공진기, 유전체 공진기, Yittrium Iron Garnet(YIG :Y2Fe2(FeO3)3) 등 여러 가지 종류가 ... 목적- 연산증폭기를 이용하여 전압제어발진기의 특성과 파형을 측정해본다.- 오실로스코프를 이용해 출력파형의 주기, 주파수, Duty cycle 등을 확인해본다.2.
    리포트 | 7페이지 | 1,000원 | 등록일 2018.11.20 | 수정일 2018.11.26
  • 점탄성 감쇠기를 이용한 비대칭 건물의 진동제어 (Vibration Control of Asymmetric Buildings Using Viscoelastic Dampers)
    한국지진공학회 김진구, 방성혁, 이진수
    논문 | 7페이지 | 4,000원 | 등록일 2016.04.01 | 수정일 2023.04.05
  • [제어공학실험][전기전자실험]비례제어기를 가지는 폐루프제어 실험
    제어공학실험REPORT비례제이기를 갖는 폐루프제어1. 실험목적2차 지연요소를 제어대상으로 하여 비례제어기를 이용한 폐루프 제어시스템을 설계하고, 특성을 관측한다.2. 실험회로3. ... 폐루프 전달 함수를 구하시오.T(S) = G(S) over 1+G(S) = {K(10000000)} over {(S+10000)(S+1000)+K(10000000)}실험 3.3P제어기의 ... 비례제어기의 이득은 1, 10, 50, 100으로 각각 설정한다.1배10배50배100배시간이 흐를수록 점점 상승한는 곡선으로 나타남.실험 3.7~3.8외란에 대한 정상편차와 정정시간을
    리포트 | 11페이지 | 1,500원 | 등록일 2014.12.29
  • 실험5 단상 위상제어 정류기
    실험(5) Team Project 단상 위상제어 정류기실험(5)Team Project단상 위상제어 정류기담당교수*** 교수님담당조교*** 조교님1. 개요1.1. ... 과제1-반파 위상제어 정류회로2.1.1. schematic 및 설정출력전류를 측정하기 위해 전류계를 추가하여 schematic을 작성하였습니다.2.1.2. simulation 결과( ... 과제2-반파 위상제어 정류회로: R-L부하2.2.1. schematic 및 설정출력전류를 측정하기 위해 전류계를 추가하여 schematic을 작성하였습니다.2.2.2. simulation
    리포트 | 9페이지 | 2,000원 | 등록일 2015.06.12
  • 고속도로 대안경로 VMS 피드백제어기 설계 (VMS Feedback Controller Design for Alternative Routes)
    대한교통학회 박은미, 김낙완, 고명석, 장현진, 장정아
    논문 | 4페이지 | 1,500원 | 등록일 2015.03.25 | 수정일 2017.02.02
  • 실험5 삼상 위상제어 정류기
    실험(5) Team Project 삼상 위상제어 정류기실험(5)Team Project삼상 위상제어 정류기담당교수*** 교수님담당조교*** 조교님1. 개요1.1. ... 정류기2.1.6. ... 이러한 점호각 설정에 맞춰 사이리스터 점호각을 각각 60°, 180°, 300°를 입력하였습니다.L부하를 주었을 때 L의 크기가 매우 크지 않고 한정적인 값을 갖기 때문에 출력전류에는
    리포트 | 13페이지 | 2,000원 | 등록일 2015.06.12
  • 무인항공기 비행제어시스템
    PID 제어기1) PID 제어기란? ... 비례제어 부분과 미분제어를 함께 쓰게 때문에 비례미분 제어기 or PD제어기 라고 한다. D요소를 부가한 것으로 인해 PD제어기는 단순한 P 제어기보다 응답이 빠르다. (참고 . ... 장비로, 항공기 위치 및 자세 정보들을 이용하여 항공기 자세 및 유도 제어 명령을 생성하여 항공기를 제어한다.
    리포트 | 20페이지 | 2,000원 | 등록일 2014.06.06
  • 자동제어실험 결과 직류전동기의 전달함수 측정
    : 구형일분 반: 수 F~I 교시학 번:성 명:자동제어실험 결과보고서 #6 직류전동기의 전달함수 측정1. ... 연결된 모터를 구동시키기 위한 전력 증폭기? OP amp용 직류전원과 선형 전력증폭기로 구성? ... code of ethics.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.과제명: [결과] 실험6제출일: 2015.11.04학 부: 전자공학부과목명: 자동제어실험교수명
    리포트 | 8페이지 | 1,000원 | 등록일 2017.11.29
  • 무인항공기제어실험
    실제로 가장 널리 이용되는 제어기는 PID 제어기이다.아래 그림은 직렬 PD 제어기를 가지는 전형적인 2차 시스템을 나타낸 것이다. 여기서(피치명령치),(항공기의 현재 피 이다. ... 실험의 목적 및 요지본 실험에서는 무인항공기의 비행제어시스템에 대하여 학습하고 자동 및 자율 비행을 위하여 가장 기본이 되는 항공기의 자세 제어를 위하여 설계된 PID 제어기를 이용하여 ... 있을 경우 제어입력에 나쁜 영향을 미치는 단점이 있다.제어 시스템에서 사용되는 제어기제어기의 입력에 따라 비례하는 비례제어뿐만 아니라 미분과 적분도 이용할 수 있다.
    리포트 | 11페이지 | 1,500원 | 등록일 2013.03.14
  • 교통신호 제어기
    2011. 5. 18디지털 공학(교통신호 제어기)소 속 :학 번 :성 명 :교통신호 제어기 설명본 교통신호 제어기에서는 디코더를 활용한 상황에 따른 동작 원리에 대해 설명을 한다.교통신호등의 ... 한다.주도로부도로주도로부도로주도로부도로주도로부도로상태 1: 최소 25초 또는 부도로에 통행 중인 자동차가 없는동안상태 2: 4초상태 3: 최대 25초 또는 부도로에 통행 중인 자동차가 없을 때 까지상태 4: 초교통신호 제어기의 ... 동작순서는 제어논리에 따라 제어되는데, 이 시스템의 타이밍 요구사항들은 다음과 같다.주 도로의 녹색등은 부도로에 통행 중인 자동차가 없는 동안 또는 최소 25초 동안 켜져야 한다.부도로의
    리포트 | 5페이지 | 1,000원 | 등록일 2011.09.17
  • 단주기 구조물의 벼탄성 응답제어를 위한 최대응답 계수를 사용한 개선된 마찰감쇠기 설계방법
    단국대학교 리모델링연구소 민경원, 이명규, 이상현, 김형섭
    논문 | 5페이지 | 4,000원 | 등록일 2016.04.02 | 수정일 2023.04.05
  • 고층건물의 진동제어를 위한 점탄성 감쇠기의 활용 (The Applications of Viscoelastic Dampers for Vibration control)
    한국지진공학회 김진구, 홍성일, 이경아, 이동근
    논문 | 12페이지 | 4,300원 | 등록일 2016.04.01 | 수정일 2023.04.05
  • PLC(프로그램형 제어기) 개요,정의, PLC(프로그램형 제어기) 구성, PLC(프로그램형 제어기) 명령어, PLC(프로그램형 제어기)와 시퀀스제어,PLC(프로그램형제어기)장단점
    PLC(프로그램형 제어기)의 개요, PLC(프로그램형 제어기)의 정의, PLC(프로그램형 제어기)의 구성, PLC(프로그램형 제어기)의 명령어, PLC(프로그램형 제어기)와 시퀀스제어 ... PLC(프로그램형 제어기)와 시퀀스제어Ⅵ. PLC(프로그램형 제어기)의 장단점1. PLC의 장점2. PLC의 단점참고문헌Ⅰ. PLC(프로그램형 제어기)의 개요1. ... PLC(프로그램형 제어기)의 개요1. 입력부(입력모듈)2. 시스템제어 장치(PLC 제어장치)3. 출력부(출력모듈)Ⅱ. PLC(프로그램형 제어기)의 정의Ⅲ.
    리포트 | 6페이지 | 5,000원 | 등록일 2013.02.24
  • 비례적분미분제어기를 이용한 폐루프 제어시스템 레포트
    [실험17]비례적분미분제어기를 갖는 폐루프제어.hwp11. 실험목적- 2차 지연요소를 제어대상으로 하고 비례적분미분제어기를 이용한 폐루프 제어의 특성을 고찰한다.2. ... 기본이론- 비례적분미분제어기를 이용한 폐루프 제어시스템은 다음과 같다.개루프 전달함수G(s)= {K _{p}} over {t _{r}} {(st _{r} +1)(st _{der} + ... 사용기기 및 재료1) 2채널 오실로스코프 2) 함수발생기3) Power supply DC ± 12V 4) 브레드 보드5) OP AMP μA7416) 저항 470Ω, 10kΩ, 100kΩ
    리포트 | 16페이지 | 2,000원 | 등록일 2015.01.06
  • 제어공학실험, 전기전자실험 PID 제어기 전문레포트
    아래와 같은 폐루프 시스템을고려해보자.위와 같은 폐루프 시스템에서 제어기부분은 PID 제어기이다. ... 0 이 아닌 값을 갖게 되면 P 제어기 이며, 적분이득 의 값만 0 이 아닌 값을 갖게 되면 I 제어기, 미분이득 의 값만 0 이 아닌 값을 갖게 되면 D 제어기가 된다. ... 그림에서 보듯이 제어기로입력되는 값은 플랜트의 출력값과 플랜트가 출력해야 하는 값의 차인 오차신호이다.일반적으로 대부분의 제어기는 오차신호를 되먹임(feedback) 받아서 제어기
    리포트 | 21페이지 | 2,000원 | 등록일 2014.12.19
  • PID제어기
    직류서보모터의 PID제어를 위한 순차적 설계목 차서론제어기비례(P) 제어기비례(P) 제어기의 구성비례(P) 제어기의 설계비례적분(PI) 제어기비례적분(PI) 제어기의 구성비례적분(PI ... ) 제어기의 설계비례미분(PD) 제어기비례적분(PD) 제어기의 구성비례적분(PD) 제어기의 설계비례적분미분(PID) 제어기비례적분미분(PID) 제어기의 구성비례적분미분(PID) 제어기의 ... PD제어기라고 부른다.아래에 있는 그림은 PD제어기를 포함한 피드백 제어시스템의 구조를 나타내고 있다.PD제어기를 포함하는 되먹임 제어시스템이 때 PD제어기 전달함수는 다음과 같이
    리포트 | 29페이지 | 3,500원 | 등록일 2009.12.29
  • 승강기용 전동기의 제어방식(로프식)
    승강기용 전동기의 제어방식(로프식)1. ... 전동기의 속도와 토크를 제어하는 방법으로서직류 전동기는 레오나드제어, 동기전동기는 무정류자 전동기 제어방식이 널리 사용되고 있다.전압과 주파수를 변환시키는 원리에 의거한 제어방식은 ... 가변전압 가변주파수 제어방식의 원리전동기의 속도제어를 자유롭게 하기 위해서는 전동기의 토크를 자유자재로 가변하는 것이 기본이다.
    리포트 | 3페이지 | 1,000원 | 등록일 2014.03.03
  • 전기전자실험, 제어공학실험 - 전압제어 발진기
    전압이 손실되어 전달되기 때문에 이때 어떤 주파수를추가시킬 경우 원래의 원하던 전압을 손실없이 얻을 수 있게 하는것을 전압제어 발진기이므로 전압을 주파수로 바꾸는 회로이다.전압제어 ... 이 론- 전압제어 발진기는 입력전압을 조절하여 출력주파수를 바꿀 수 있는발진기 회로이다.어떤 장소에서 전압을 멀리 보내고자 할 때 선이 길 경우 전압이그대로 전달되지 않는다.즉 일정의 ... 발진기는 입력 신호의 전압이 양수일 경우 발진 주파수가증가하고, 음수일 경우에는 발진 주파수가 감소한다.즉 인가하는 전압에 따라 주파수가 달라진다.전압제어 발진기 회로를 오실로스코프에
    리포트 | 6페이지 | 1,000원 | 등록일 2014.12.20
  • 실시간 제어기 DC모터제어시스템
    모터에는 전압이 입력되고 이는 지역제어기 내부에 있는 PWM발생기에 의하여 제어입력이 전압으로 변환된다. ... 문제5> 실시간 제어기를 이용한 DC 모터 제어 시스템의 예를 블록 다이어그램을 이용하여간단히 설명하시오.* 모터 제어 블록 다이어그램아래 그림은 실제 모터 제어시스템의 블록 다이어그램을 ... DC 모터제어시스템에서 출력신호는 보통 회전수나 각도이므로 이 출력신호가 입력신호와 비교되기 위해서는 출력신호를 위치나 전압으로 변환시켜야 된다.
    리포트 | 1페이지 | 1,500원 | 등록일 2012.12.04
  • 아날로그 및 디지털 회로 설계 실습 6. 전압제어 발진기
    예비보고서실습 6.전압제어 발진기6-1. ... 발진기의 설계(A) 그림 6-1과 같이 전압제어 발진기를 설계하고, 출력파형을 관찰하라. ... 목적전압제어 발진기(VCO: Voltage Controlled Oscillator)를 설계하고 전압을 이용한 발진 주파수의 제어를 실험으로 확인한다.6-2.
    리포트 | 5페이지 | 1,000원 | 등록일 2018.03.21
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 19일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:55 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기