• 통큰쿠폰이벤트-통합
  • 통합검색(22,644)
  • 리포트(17,935)
  • 자기소개서(2,994)
  • 시험자료(1,032)
  • 방송통신대(429)
  • 논문(164)
  • 서식(60)
  • ppt테마(18)
  • 이력서(9)
  • 노하우(3)

"제어설계" 검색결과 201-220 / 22,644건

  • 온수제어 설계레포트
    실용성 및 경제성 분석● 경제성 : 이번 설계에서 가장 문제가 되는 부분 보일러가 수시로 작동되기 때문에 연료가 많이 드는 문제● 해결방안 : ● Reflux를 설계에 적용하여 연료 ... P ID● 설계 사양 : 보일러, 탱크, 파이프, 컨트롤러, 센서의 Range와 어떤 설비를 사용 하는지 표시한다. ... 문제인식Project : 아파트 샤워 온도 조절 system ● 목표 공급 온수온도 및 주변의 냉 온수 사용량이 불규칙 할 때 영향 최소화1.문제인식● 설계 기본 방향 Ⓐ 온도(T)
    리포트 | 11페이지 | 3,000원 | 등록일 2009.12.20
  • pid제어기를 이용한 dc motor 설계
    고찰지난번 제어설계에서는 D를 아예 사용하지 않아서 약간의 오버슈트가 존재하였다. ... 앞서 PID제어기를 이용한 DC 모터 속도제어에서는 D의 값을 0으로 하여 약간의 오버슈트가 발생하였으나 이번에는 D제어까지 사용하여 오버슈트를 없앴다.? ... PID제어기를 이용한 DC Motor 속도제어?
    리포트 | 4페이지 | 1,000원 | 등록일 2013.09.04
  • 온수제어 설계레포트
    이러한 불편함을 극복하기 위해서 제어 이론을 토대로 물의 온도를 일정하게 유지할 수 있는 시스템을 설계해본다.2. ... 이러한 불편함을 극복하기 위해서 제어 이론을 토대로 물의 온도를 일정하게 유지할 수 있는 시스템을 설계해본다.이 시스템에서는 3방향 밸브를 사용해 줄어든 유량에 대한 보정을 통하여 ... 의해 설계에서 실제 유체의 흐름을 제어하는 조작부에는 그 부분에 연결되는 배관의 수가 3개이므로 3-way valve (Fig.1.2.)를 사용한다. 3-way valve 종류 중에
    리포트 | 12페이지 | 3,000원 | 등록일 2009.12.20
  • 금속 조직제어설계
    ..PAGE:1재료순철(Pure Iron) : 0.025%C 이하 (용도가 한정 - 전기재료, 촉매 등)철강재료강(steel) : 탄소강(0.025~2.0%C, 기계재료용), 합금강(탄소강+기타금속*)주철 : 2.0~6.68%C (주물재, 보통 2.0~4.5%C 사용)..
    리포트 | 52페이지 | 4,000원 | 등록일 2011.03.15
  • 대형구조물의 진동 감소를 위한 슬라이딩 모드 퍼지 제어기의 설계 (Design of Sliding Mode Fuzzy Controller for Vibration Reduction of Large Structures)
    한국지진공학회 윤정방, 김상범
    논문 | 12페이지 | 4,300원 | 등록일 2016.04.01 | 수정일 2023.04.05
  • 모터 위치 제어 시스템 모델링 및 제어설계
    모터 위치 제어 시스템모델링 및 제어설계목 차1. ... 우리는 모터위치 제어 시스템 모델링 및 제어설계를 직접 해봄으로써 제어 시스템을 이해하고 분석한다.2) 설계 목적모터 위치 제어 시스템을 모델링 및 제어기를 Cm=CL=La= 0의 ... 이번 시간을 통하여 모터위치 제어 시스템 모델링 및 제어설계를 직접 해봄으로써 PD제어기에 대하여
    리포트 | 20페이지 | 2,000원 | 등록일 2011.12.22
  • 제어설계공학실험 결과 3,2
    실험 내용 및 개략이번 실험에서는 제어설계를 하기 위하여 아라고 진자 시스템의 수학적 모델을 구하고, 실제 시스템과 가장 근접한 파라미터를 추정하기 위한 프로그램을 작성하고 관찰해본다
    리포트 | 7페이지 | 2,000원 | 등록일 2013.01.18
  • 공정제어 설계 보고서
    공정제어 설계과제◈ 설계에 사용한 프로그램 : MATLAB R2010a (ver.7.10.0.499) 64-bit◈ 프로그램이 구동된 운영체제 : Windows 7◈ 본 설계와 함께 ... q4.m, h_inter~.m)◈ m파일은 순서대로 q1>q2>q2-2>q3>q4 순으로 open하여야함 (전역변수 설정 때문에 순서가 어긋날 시 문제가 발생할 수 있음◈ Model설계에 ... 1.8462K1=0.089K2=0.6923K3=5.000다음과 같은 관계식을 이용하여 model을 도출하고 simulation할 수 있다.Matlab내의 stimulation의 model 설계
    리포트 | 11페이지 | 2,000원 | 등록일 2010.11.30
  • 제어공학 설계과제
    제어시스템을 해석하고 설계하기 위해, 필요한 수학적 모델링 중에서전달함수에 대한 충분한 이해를 하였는가? ... 설계 목적과 사양(1) 설계의 목적(2) 설계하려는 사양2. 모델링3. 설계 과정4. 시뮬레이션5. 결과 및 고찰(1) 결 과(2) 고 찰1. ... 설계 목적과 사양(1) 설계의 목적(2) 설계하려는 사양2. 모델링3. 설계 과정,,4. 시뮬레이션→→5. 결과 및 고찰(1) 결 과(2) 고 찰?
    리포트 | 7페이지 | 1,500원 | 등록일 2009.08.29
  • 제어설계공학실험 결과 5.1
    아라고 진자 시스템의 PI 및 PID 제어설계1. ... .3) Ziegler-Nichols 방식을 적용한 PID 제어설계를 실험한다.2. ... 실험 목적1) PI 및 PID 제어기를 설계하여 아라고 진자의 위치제어를 수행하고 응답성능을 관찰한다.2) PI 및 PID 제어기의 특징을 파악하고 PD 제어기와의 차이점을 관찰한다
    리포트 | 6페이지 | 2,000원 | 등록일 2013.01.18
  • 인접구조물의 진동제어를 위한 선형감쇠시스템의 최적설계 (Optimal Design of Linear Viscous Damping System for Vibration Control of Adjacent Building Structures)
    한국지진공학회 박관순, 옥승용, 고현무
    논문 | 16페이지 | 4,900원 | 등록일 2016.04.01 | 수정일 2023.04.05
  • 아날로그 및 디지털 회로 설계 실습-예비보고서-6.위상 제어 루프 (PLL)
    아날로그 및 디지털회로설계 실습설계실습 계획서6. 위상 제어 루프 (PLL)6-1. ... 설계실습 계획서6-3-1 위상제어루프의 용도이론부의 위상 제어 루프를 이해하여 요약, 설명하고 실제 사용되는 분야에 대해서 서술한다.전압제어 발진기의 출력 위상을 입력 신호의 위상과 ... 로 설계한다.
    리포트 | 6페이지 | 1,000원 | 등록일 2018.10.30
  • PFC, Power Fartor correction 역률보상회로 PI제어를 이용한 설계
    썸네일 참조
    리포트 | 14페이지 | 1,000원 | 등록일 2016.11.28
  • 제어설계공학실험 결과 3.1
    실험 내용 및 개략이번 실험에서는 제어설계를 하기 위하여 아라고 진자 시스템의 수학적 모델을 구하고, 실제 시스템과 가장 근접한 파라미터를 추정하기 위한 프로그램을 작성하고 관찰해본다
    리포트 | 12페이지 | 2,000원 | 등록일 2013.01.18
  • FPGA 디지털 시스템 설계 : 신호등 제어설계
    신호등 제어설계1. ... 끊이지 않도록, 농로에 차가 있을 경우에만 신호를 바꾸는 제어기를 설계하고자 한다(좌회전, 우회전 등의 신호는 고려하지 않음). ... Moore state machine을 이용한 traffic light controller 설계도시를 연결하는 큰 길과 교차하는 농로에 신호등을 설치할 때, 가능한 한 큰 길의 신호가
    리포트 | 2페이지 | 1,000원 | 등록일 2012.06.18
  • 제어설계기법 프로젝트 - PI제어설계
    .* 결과따라서 주어진 3가지 조건을 만족하는 PI 제어기는일 때,,인 제어기 이다. 즉 아래 그림과 같다.그림3 - 주어진 조건을 모두 만족하는 제어기의 simulink로의 구현
    리포트 | 5페이지 | 1,000원 | 등록일 2009.12.21
  • 건축구조물의 지진응답제어를 위한 MR 감쇠기 예비설계절차 (Preliminary Design Procedure of MR Dampers for Controlling Seismic Response of Building Structures)
    한국지진공학회 이상현, 민경원, 이루지, 김중구
    논문 | 10페이지 | 4,000원 | 등록일 2016.04.01 | 수정일 2023.04.05
  • 대안경로간 통행균형 달성을 위한 VMS 피드백 제어설계 (Design of VMS Feedback Controller for Balancing Traffic between Alternative Routes)
    대한교통학회 오현선, 박은미, 김낙완, 장현진
    논문 | 5페이지 | 1,500원 | 등록일 2015.03.25 | 수정일 2017.02.01
  • matlab을 이용한 자동제어 설계 프로젝트
    조향장치의 방향각 제어에서 90˚를 제어한다고 할 경우 Overshoot가 최대 5%가 발생하여도 94.5˚이고, 모터사이클 특성상 5% 정도의 각도차이는 그렇게 큰 문제나 사고를 ... 모터사이클에 장착된 로봇이 모터사이클의 조향장치를 제어하는 것에 대해서 인터넷에서 검색을 해봤으나, 이렇다 할 만한 예나 실제 모델을 찾기 어려웠다. ... 개인적인 생각으로는 조향장치의 방향각 제어의 경우, Overshoot는 어느정도 용인되어도 좋으나, 로봇에서 처리를 하는 것이기 때문에 Input에 대한 Output의 반응이 빨라야
    리포트 | 28페이지 | 2,000원 | 등록일 2011.06.24
  • [실습보고서] 4비트 버스제어설계
    내용OrCAD를 이용하여 4비트 버스제어기를 설계하는 것인데 74153인 멀티플렉서를 이용하여 4비트 버스제어기를 설계하였다.버스 라인을 이용하여 설계하여도 되지만 자꾸 오류가 나서 ... 멀티플렉서의 특징인 데이터 선택기를 이용하여 4비트 버스제어기를 설계하였다.74126을 이용하여 위의 그림과 같이 버스제어기를 설계할 수도 있다.4. ... 3주차 실습보고서OrCAD를 이용하여 4비트 버스제어설계1.
    리포트 | 6페이지 | 1,000원 | 등록일 2014.03.22
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:22 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대