• 통큰쿠폰이벤트-통합
  • 통합검색(22,644)
  • 리포트(17,935)
  • 자기소개서(2,994)
  • 시험자료(1,032)
  • 방송통신대(429)
  • 논문(164)
  • 서식(60)
  • ppt테마(18)
  • 이력서(9)
  • 노하우(3)

"제어설계" 검색결과 161-180 / 22,644건

  • Matlab을 이용한 DC모터 P제어설계
    Matlab 을 이용한 DC 모터 P 제어기 설 계 DC 모터 설계 전달함수를 통한 DC 모터 각속도와 출력각도 제어 P 제어기를 이용한 %OS, 정상상태 오차 ( ess ), 상승시간 ... 미분방정식 전달함수 방정식 - 전압입력에 대한 출력각도 ( 위치 ) 제어 전달함수 - 전압입력에 대한 속도 제어 전달함수 속도제어 Gc (s) 시뮬레이션 정상상태 오차 e ss = ... ( Ts ) 제어 DC 모터 모델링 DC 모터는 전기 에너지를 회전 기계에너지로 변환시키는데 , 모터의 회전자에 발생된 대부분의 토크가 외부 부하를 구동하는 데 쓰인다 .
    리포트 | 10페이지 | 1,000원 | 등록일 2017.07.19
  • 자동제어 크레인 설계보고서
    제어기의 설계에 앞서 먼저 PID제어기의 특징을 살펴보면 다음과 같다.□ 비례(P) 제어 (Proportional control)ㆍ 제어신호를 오차신호(기준입력과 출력의 차이)를 증폭하여 ... 이와 같은 System에서 기준입력에 대한 빠른 플랜트출력을 얻도록 하기 위해서 PID제어기를 설계한다. ... PID제어설계위와 같은 System에서는 단위입력을 주었을 때 안정한 값을 얻기까지 너무도 긴 시간이 걸린다.
    리포트 | 18페이지 | 2,500원 | 등록일 2015.07.15 | 수정일 2015.07.16
  • PD 제어설계
    서론모터 위치 제어 시스템 모델링 및 제어설계를 위해 PD제어기를 설계하여 목표치에 만족하도록 만들 계획이다.본론1.G _{P} (s) 구하기.(1) 주어진 블록선도(2) 변형( ... PD제어설계G(s)=G _{C} (s)G _{P} (s)= {136.8192(K _{P} +K _{D} s)} over {s(s+30.2179)}{theta _{L} (s)} over ... 136.8192K _{D} )s+136.8192K _{P} =02 zeta omega _{n} =30.2179+136.8192K _{D}omega _{n} ^{2} =136.8192K _{P}설계목표치1
    리포트 | 8페이지 | 1,000원 | 등록일 2012.12.12
  • PI 제어설계
    Project 2일 때, 다음 조건을 만족하는 PI제어기를 설계한다.1) 단위 계단 입력에 대한 percent maximum overshoot 가 5% 이하 되게 할 것2) settling ... time(5% criterion) 이 6초 이하 되게 할 것3) ramp error constant가 0.9 이상 되게 할 것먼저 루프함수에 PI제어기 항을 추가한다.ramp error ... 따라서 위의 조건를 만족한다.,인 PI제어기를 포함한 시스템의 block diagram은 다음과 같다.simout block을 이용해 매틀랩에서 step response를 구해보면
    리포트 | 4페이지 | 1,000원 | 등록일 2012.12.02
  • 복합구조제어시스템의 동시최적설계 (Simultaneous Optimum Design of Hybrid Structural Control System)
    한국지진공학회 박관순, 고현무
    논문 | 7페이지 | 4,000원 | 등록일 2016.04.01 | 수정일 2023.04.05
  • VMS 자동제어 알고리즘 설계 (Conceptual Design of Automatic Control Algorithm for VMSs)
    대한교통학회 박은미
    논문 | 7페이지 | 3,000원 | 등록일 2015.03.25 | 수정일 2017.02.02
  • VMS 자동제어 알고리즘 설계 (Conceptual Design of Automatic Control Algorithm for VMSs)
    대한교통학회 박은미
    논문 | 6페이지 | 3,000원 | 등록일 2015.03.25 | 수정일 2017.02.01
  • 자동제어1 설계 프로젝트 (MATLAB)
    설계 프로젝트 #1이 회로의 mesh분석을 하면 이런 형태의 식을 세울수가 있다. ... (미리 gain G를 계산해본 겨로가 복잡한 제어기는G= {10(2s+20)} over {s ^{2} +30s+200}이다.)초록색이 sys2의 좀더 복잡한 제어기 시스템이다.(2) ... 값인 것을 알 수 있다.제어기의 복잡성이 커지면 정상상태 추적오차는 줄어든다.
    리포트 | 6페이지 | 1,500원 | 등록일 2014.11.21 | 수정일 2015.10.21
  • 음식물처리 압착기의 압착압력 추정을 통한 자동 개폐식 시스템의 설계제어
    한국기계기술학회 김정현
    논문 | 6페이지 | 4,000원 | 등록일 2016.04.01 | 수정일 2023.04.05
  • [제어공학] 모터 위치제어 시스템 모델링 및 제어설계보고서 (Matlab이용)
    본론21) 설계모델(1) Gp(s) 구하기2(2) Parameter 구하기2(3) Gp(s)의 정규화22) PD제어설계(1) PD제어기를 포함한 안정도2(2) PD제어기 결과 값23 ... 모터 위치제어 시스템모델링 및 제어설계보고서과목명 제어공학교수명 이병수 교수님학과명 기계자동차공학과학 번 5117220성 명 진성호제출일 2015. 12. 14. ... 이 값으로 설계하면 1,2,3 조건에 만족한 PD제어기를 설계할 수있다.○ec)○omega _{n}값을 조정했을 때가zeta을 조정했을 때보다 변화가 더 뚜렷했다.
    리포트 | 21페이지 | 3,000원 | 등록일 2016.03.07
  • 자동제어 설계 1
    후의 단위 계단 응답,램프 응답 를 보이고 그 결과를 비교하고 고찰한다.2) 주요 내용개루프 전달함수[제어용 전동기의 전달함수]인 시스템을 단위 피드백 제어하는 경우에, 다음의 제약 ... 조건을 만족시키도록 직렬 보상기를 설계3) 설계 제약 조건(1/sec)GM(dB)PM(deg)(rad/sec)15205012. ... 반면에 교류전동기의 주종을 이루는 유도전동기는 직류전동기에 비해 구조가 간단하여 내구성이 있고 경제적이지만 속도제어가 어렵다는 약점이 있다.
    리포트 | 9페이지 | 1,000원 | 등록일 2012.04.01
  • 자동제어 설계 0
    대상시스템 및 제어설계의 기초 이론1) 제어 대상 시스템(a) : 제어 시스템(b) : 보상기 적용 시스템2) 앞섬 보상기 설계법 요약① 오차 또는 대역폭 요구사항들을 만족하는 ... 설계 제약 조건2. 대상 시스템 및 제어설계의 기초이론2-1. 제어 대상 시스템2-2. 앞섬 보상기의 설계법 요약3. 제어설계4. 제어계의 성능 확인 및 고찰5. 결론6. ... 과제의 개요1) 과제의 목적- 개루프 전달함수인 시스템을 단위 피드백 제어하는 경우에, 다음의 제약 조건을 만족시키도록 직렬 보상기를 설계- 원래의 시스템, 보상된 시스템을 사용하여
    리포트 | 16페이지 | 1,000원 | 등록일 2012.04.01
  • 제어설계기법 외팔보 제어 설계
    제어설계기법 설계프로젝트 3외팔보는 한 쪽 끝이 고정된 긴 막대 형태의 구조물을 일컫는데, 외부 외란에 의해 진동이 시작되면 이 진동이 오랜 시간 지속되는 특성을 가지고 있다. ... 어떠한 제어기나 어떤 설계 기법(Root locus, Nyquist diagram, Bode diagram 등)을 사용하여도 무방하나 설계 과정을 설명할 것.2. ... 제어기 C(s)를 붙여서 피이드백 제어하는 경우의 출력 y(t)의 sine 파형의 크기가 1 이하로 줄어들도록(즉 공진 현상이 안 생기도록) 제어기를 설계하자.2) 일반 외란에 대한
    리포트 | 9페이지 | 3,400원 | 등록일 2010.12.22
  • 아날로그 및 디지털 회로 설계 실습 6. 전압제어 발진기
    발진기의 설계(A) 그림 6-1과 같이 전압제어 발진기를 설계하고, 출력파형을 관찰하라. ... 목적전압제어 발진기(VCO: Voltage Controlled Oscillator)를 설계하고 전압을 이용한 발진 주파수의 제어를 실험으로 확인한다.6-2. ... 예비보고서실습 6.전압제어 발진기6-1.
    리포트 | 5페이지 | 1,000원 | 등록일 2018.03.21
  • 아날로그 및 디지털 회로 설계 실습 7. 위상 제어 루프(PLL)
    설계실습 계획서7-3-1 위상제어루프의 용도: 이론부의 위상 제어 루프를 이해하여 요약, 설명하고 실제 사용되는 분야에 대해서 서술한다.: 기본적으로는 입력된 주파수와 같은 주파수로 ... )로 설계한다. ... 예비보고서실습 7.위상 제어 루프(PLL)7-1.
    리포트 | 6페이지 | 1,000원 | 등록일 2018.03.21
  • 대기오염제어설계 아스팔트 콘크리트 아스콘 최종보고서
    장치 별 목표 효율②단일 싸이클론 설계③다중 싸이클론(n=5) 설계④여과 집진기 설계4) 설계도면①원심력 집진기②여과 집진기③아이디어5) 경제성 분석①단일 싸이클론 가격 계산②다중 ... 대기오염설계 최종보고서-아스콘-조 이 름 : 10조제 출 일 : 2015년 5월 26일담 당 자 : 교수님제 출 자 :1.서론1) 주제선정, 목적 및 설명①아스콘이란? ... 집진장치①중력 침강장치②원심력 집진장치③여과 집진장치④전기 집진장치⑤집진기별 가격비교2.본론1) 집진효율 목표 설정2) 집진장치의 선정①선정한 집진장치②선정 이유3) 집진 장치 설계①집진
    리포트 | 31페이지 | 4,000원 | 등록일 2018.02.07 | 수정일 2018.12.31
  • 고속도로 대안경로 VMS 피드백제어설계 (VMS Feedback Controller Design for Alternative Routes)
    대한교통학회 박은미, 김낙완, 고명석, 장현진, 장정아
    논문 | 4페이지 | 1,500원 | 등록일 2015.03.25 | 수정일 2017.02.02
  • [제어공학실험] 지상회로 설계 실험
    제어공학실험REPORT지상회로1. 실험목적지상회로의 회로 해석과 그 특성을 관측함으로서 제어요소의 특성을 이해한다.2. 실험회로3.
    리포트 | 9페이지 | 1,500원 | 등록일 2014.12.29
  • PID제어설계방법
    [제어공학] 문제 2> 산업용 자동제어기의 설계방법에서PID(비례-적분-미분)제어설계방법에 대하여 구체적으로 설명하시오.결론적으로 말하자면, PID제어기는 설계변수가 세 개이므로 ... 있을 수 있는데, PI제어기와 PD제어설계법을 활용할 수 있는 방법으로서 분해식 접근방법을 이용해서 설계한다.1) PID제어기 전달함수는 다음과 같이 분해하여 쓸 수 있다.이 식은 ... 최대초과가 크더라도 다 음 단계에서 설계할 미분제어에 의해 조절할 수 있으므로 상관하지 않는다.3) 최대초과 감소를 위해 D부분 설계 : 단계 2)에서 설계한 PI부분을 포함하는 대상공정에
    리포트 | 1페이지 | 1,000원 | 등록일 2012.10.05
  • 단주기 구조물의 벼탄성 응답제어를 위한 최대응답 계수를 사용한 개선된 마찰감쇠기 설계방법
    단국대학교 리모델링연구소 민경원, 이명규, 이상현, 김형섭
    논문 | 5페이지 | 4,000원 | 등록일 2016.04.02 | 수정일 2023.04.05
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:16 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대