• 통큰쿠폰이벤트-통합
  • 통합검색(905)
  • 리포트(783)
  • 시험자료(63)
  • 자기소개서(23)
  • 서식(18)
  • 방송통신대(12)
  • 논문(5)
  • 노하우(1)

"지그비" 검색결과 201-220 / 905건

  • 사회학적으로 생각하기 - 지그우트 바우만, 팀메이 - 사회학개론 - 감상문
    사회학적으로 생각하기-지그우트 바우만, 팀메이사회학적으로 생각하다. 우리는 모두 의식적으로든 무의적으로든 사고를 하고 있다. ... 이 세상에 가시적으로 존재하는 것, 심지어 비가시적이지만 존재한다고 믿어지는 것에 의문을 가지고 관계를 파악해야 한다.
    리포트 | 2페이지 | 1,000원 | 등록일 2019.03.04
  • 창의성공학/창의력공학 - 패러다임 변환 ppt (조별과제)
    지그비시스템 지그비란 , 가정 , 사무실 등에서 10∼20m 내외의 근거리 무선 통신을 전용 USIM 칩을 기반으로 구현하는 기술이다 . ... 즉 , 지그비는 휴대전화나 무선 LAN 의 개념이다 .
    리포트 | 21페이지 | 1,000원 | 등록일 2016.10.15
  • 홈 네트워크를 위한 무선 PAN 기술체계 선정
    Zigbee ( 지그비 ) 블 루 투 스기준정의 (1/2) 기 술 성 기술발전기대도 전송량 전송속도 확장성 보안성 신뢰성 향후 기술 발전가능성 및 기술 활용도 전송 시 보낼 수 있는 ... 그러므로 앞으로 지속적인 기술력 향상과 더불어 경제적 우수한 측면을 더욱 활용한다면 홈 네트워크 분야에서 선도적인 표준기술이 될 것으로 예상된다 .참고문헌 지그비 기술의 응용과 실습 ... 전자정보산업부 멀티미디어공학 한국 홈네트워크 산업협회 ( http://www.hna.or.kr/ ) 사이언스 타임즈 ( http://www.sciencetimes.co.kr/) 지그비
    리포트 | 29페이지 | 3,000원 | 등록일 2017.02.14
  • 홈네트워크공사
    구간에서낮은 전력으로 넓은 스펙트럼 주파수를 통해 많은 양의 디지털 데이터를 전송하기 위한 무선 기술인 “UWB(초광대역)” 와 같은 무선개인통신망,무선랜(LAN)인 IEEE802.11, 지그비 ... (Zigbee)지그비(Zigbee)는 IEEE802.15.4에 기반한 기술로 ) 홈게이트웨이(Home Gateway)홈네트워크의 기본설비로 외부 인터넷망과 세대내망을 연결하는 장치로2개 ... 극복하기 위한 대안으로 연구되기 시작했으며 5~60GHz의 주파수를 사용하여 30~100Mbps의 속도를 지원할 수 있으며 현재 미국, 유럽, 일본 등에서 연구가 진행 중이다.7) 지그비
    리포트 | 15페이지 | 1,500원 | 등록일 2011.07.25
  • 캡스톤디자인 iot기술 이용한 원격조종시스템 사업계획서
    솔루션 제시 ”02 사업설명 장비를 부착해 가스 유출 , 배관 진동 및 부식상태에 대한 정보를 실시간으로 모니터링해 이상이 감지될 경우 신속한 대응 시스템 펼칠 수 있도록 구축가능 지그비 ... 못하게 창문과 문에 고리를 부착해 자동 잠금 시스템을 추가함 잠금 확인- 기본적으로 요금제는 월 정액 결제 시스템 으로 운영 - 월마다 정해진 요금을 지불하면 별도의 기기값과 설치비 ... 면제 수익 모델 14 예시 ) SK 텔레콤의 ‘ 스마트홈 Unlimited’ 요금제 / ‘ 스마트홈 One’ 요금제 요금제 02 사업설명수익 모델 15 선납요금제는 기기값 + 설치비
    리포트 | 22페이지 | 4,000원 | 등록일 2019.02.07
  • [구조 실험 결과 보고서]비틀림 실험(Torsion Test)
    비틀림 지그비틀림지그는 이러한 토크에 의한 물체의 변형을 알기위한 실험 장치이다. ... 극관성 모멘트(J, Polar Moment of Inertia)비틂에 저항하는 성질을 나타낸 값이다. 돌림힘(torque)이 작용하는 물체의 비틀림을 계산하기 위해서 필요하다. ... 비틀림지그는 먼저 스트레인 게이지가 부착된 시편을 조임 쇠에 끼워 고정시킨 다음, 시편 끝에 추를 달아, 추의 무게에 해당하는 힘만큼 시편에 토크를 줄 수 있다.그림 3 비틀림지그
    리포트 | 7페이지 | 2,000원 | 등록일 2015.12.24
  • 물리실험 - 푸코의 빛의속도 측정
    = 회전거울과 고정거울 사이의 거리TRIANGLE s ^{'} = 현미경을 통해 보는 상의 이동거리 (o에서 기록한 두 개의 값의 차이)실험 주의사항 :실험결과, 이 실험은 실험장비 ... 광학대 위에 지그를 2개 설치하는데 하나는 회전거울 바로 앞, 하나는 레이저 바로 앞에 설치한다.c. ... 레이저를 켜고 레이저 빛이 두 지그 구멍을 통과해 회전거울에 도달할 수 있도록 레이저의 위치를 잘 조정한다.
    리포트 | 5페이지 | 2,000원 | 등록일 2016.04.12 | 수정일 2018.10.19
  • BIW 강성실험 및 shock absorber 실험
    체결하였고 지그 볼조인트에 연결을 하였다. ... 것이다.BIW 정적 강성 실험을 통해 실험의 원리, 다이얼 게이지의 역할을 알 수 있었고 데이터를 확인하는 과정에서 탄성 변형 구간에서의 선형성을 확인할 수 있었으며, 굽힘 강성과 비 ... 실험을 하는 과정에서 좀 더 알고자 하는 마음으로 집중할 수 있었던 것 같다.⑤ 실험 장치 준비 상의 문제강성 실험을 하기 위해서 ‘ㄷ’자형의 상하 지그를 제작하여 BIW와 볼트로
    리포트 | 9페이지 | 1,000원 | 등록일 2018.06.11
  • A++ 보건의료 환경변화 (U-health)
    디지털 정보감지, 대용량 정보저장, 초소형 디스클레이, 초소형 에너지 발생, 유.무선 통신- 저전력 소비기술2) 통신 기술- Blooth : 휴대용 장치간의 양방향 근거리 무선통신- 지그비 ... 의료비절감과 소비자의 높은 의료이용이 주요 등장배경- 인구고령화와 만성질환의 증가로 국민건강보험이 부담하는 의료비는 지속적으로 증가- 소득증가와 건강에 대한 관심증대 등으로 의료소비는
    리포트 | 6페이지 | 2,000원 | 등록일 2019.05.01
  • 18년 부산대학교 기계공작법실습 용접2
    밖에 정보에 따라 수치로지령된 작업을 행할 수 있는 메니퓨레이터.지능 로봇감각기능 및 인식기능에 의하여 행동결정이 가능한 로봇.로봇의 자유도를 많이 할수록 구조가 복잡해지고 제작비가 ... 충돌하지 않는 위치입니다.① 수동조작키 [FST] 혹은 [SLW]키를 눌러 속도를 [FST]로 설정② 축조작 키로서 지그에 충돌하지 않는 위치까지 로봇을 이동시킨 후 [MOTION ... 위치입니다.① 수동조작키 [FST] 혹은 [SLW] 키를 눌러 속도를 [FST]로 설정② [PLAY SPD] → 혹은 로서 속도를 설정한 후스템 5 : 작업종료 위치로부터 이동하여 지그
    리포트 | 16페이지 | 1,000원 | 등록일 2018.06.24
  • 무선통신 기술과 무선인터넷 기술
    지그비’라고 지칭하게 됐다. ... 지그비(ZigBee)1) 지그비(ZigBee)란?저속 전송 속도를 갖는 홈 오토메이션 및 데이터 네트워크를 위한 표준 기술. ... 이러한 입증에 시간이 소요되는 가장 큰 이유는 사용자가 지그비 사양에 맞춰 이를 적용할 때 지그비 프로토콜의 속도가 느리다는 점이다.
    리포트 | 33페이지 | 2,500원 | 등록일 2008.04.15
  • 에스엘 합격 자소서
    그 결과 동력전달장치, 편심왕복장치, 드릴 지그 등 여러 기계 부품들을 응용해서 그릴 수 있게 됐습니다.이러한 경험을 통해 어떤 도전이라도 포기하지 않고 열정을 다 한다면 성과가 있다는 ... 그리고 직접 전화를 하고 만나서 학생들을 모집한 결과 총 8명의 학생이 모일 수 있었고 매달 10만 원의 운영비도 지원받을 수 있었습니다. ... 자동차에서 변속기 설계는 중요한 부분이라 생각했고 기구설계 직무를 통해 새로운 트렌드에 맞는 엔지니어로서 성장하고자 지원하게 됐습니다.현재 에스엘은 전체 매출액의 10%가량을 연구개발비로
    자기소개서 | 3페이지 | 3,000원 | 등록일 2017.11.24
  • 지그 지글러의 연애하는 부부 서평
    「연애하는 부부」, 지그 지글러저1. ... 그리고 내가 배우자와 사랑에 대한 비현실적인 신화를 갖고 있진 않은 지, 나의 가치관에 대해서도 재점검 해 볼 수 있는 기외가 되었던 것 같다. ... 그렇게 제목에 이끌려 선택한 이 책은 나의 기대를 실망시키지 않았다.지그 지글러가 지은 ‘연애하는 부부’는 결혼 후 원만하고 친밀한 부부관계를 유지하는 방법에 대해 말하고 있다.
    리포트 | 3페이지 | 1,000원 | 등록일 2016.04.19
  • 무선LAN 유비쿼터스 ppt
    무선 LAN 4 세대 유비쿼터스 무선 WPANWPAN 란 ? WPAN 의 장단점 WPAN 기술방식비교 WPAN 의 종류 설명 - Bluetooth - ZgiBee - UWB 목차로컬 무선 네트워크 WLAN 802.11 802.11a 802.11b 802.11i/e/…/..
    리포트 | 17페이지 | 2,000원 | 등록일 2013.10.12 | 수정일 2013.10.14
  • 삶의원칙수립
    그 점수가 비록 나에게 높은 점수이긴 하지만 지그 지글러는 “목표는 커야 한다. 작은 목표는 작은 성취감만 느끼게 할 뿐이다. ... 동생들의 학원비가 만만치 않고 여자가 넷이라 돈이 더 많이 들어가는데 그래서 그런지 부모님께 손 내밀기가 정말 송구스러워서 저번 학기에 공부를 더 열심히 한 것 같기도 합니다.
    리포트 | 3페이지 | 2,000원 | 등록일 2018.03.24
  • [기계공학 실험] UTM(universal testing machine)을 사용한 재료의 인장시험 결과 리포트
    →충분한 깊이가 아니라면 신율계 사이에서 끊어지지 않고 지그 부분에서 끊어질 수 있고, 기울어지면 비틀림이 생길 수 있다.②시편에 손상이 가지 않도록 키친타월(천)을 사용하여 지그에 ... 주의해야 할 사항①시편을 잡을 때 지그에 손가락이 끼이지 않도록 주의하고, 시편이 충분한 깊이에서, 기울어지지 않도록 잡아 줄 수 있도록 한다. ... -포아송비 측정의 어려움은 아주 작은 양의 연신 범위에서 폭 및 축방향으로 측정 해야 하는 데 직접 관련이 있다.
    리포트 | 5페이지 | 2,000원 | 등록일 2016.01.07
  • 금형제작원가분석
    세액제외"발주일입고일업체명비고가로(ø)세로높이중량(㎏)1"MOLDBASE"포켓 上2포켓 下3456"CORE가공"7891011C.N.C12밀 링13레디얼14방전15WIRE16선 반17지그그라인딩18연삭19열처리20응력제거21 ... "기타"222324가 공 비 용 (2)※특기사항총 제조원가 (3)(1) + (2) ... "금형제작원가분석A(재 료 비)"제 품 명금형제작착수일고 객 명"고객샘플제출일자"금형SIZE"금형제작완료일(1차TRY)""번호"항목 (구매품)재질 (SPEC)규격"수량"단가" 금 액
    서식 | 2페이지 | 500원 | 등록일 2016.12.19 | 수정일 2016.12.21
  • 사물인터넷 주요 기술
    와이파이 사용 시 통신 데이터의 암호화가 이루어지지 않을 경우 도청, 스니핑, 비 인가 접근 등의 공격이 이루어질 수 있다.따라서 사용자 단말과 AP간 무선통신 과정에 대한 적절한 ... 이러한 환경에서 최근에는모바일 악성코드의 증가로 감염 단말의 악성,비정상 트래픽이 3G/LTE 망으로 유입되고 있고 이로 인해 개인정보 또는 단말정보 유출, DDoS 공격 등다수의 ... ) NFC(Near Field Communication)NFC는 모바일 RFID 기술의 일종으로 13.56MHz 주파수를 사용하여10cm의 근거리에서 사물 간 무선통신을 지원하는 비접촉식
    리포트 | 10페이지 | 2,500원 | 등록일 2016.02.09
  • 2018 경북대학교 기계공학실험 기계공작가공 A+보고서
    또한 제어에 관계되는 서보 오차, 스핀들의 회전오차, 공작물을 물리는 지그 등과 함께 연관되어 나타난다.기구학적인 오차는 운동과 관계되는 오차로 기구학적으로 운동의 변환에 의해서 발생하는 ... 해석할 수 있는 좌표값이 정밀해질수록 오차가 줄어들 것이라 생각한다.다음으로 다른 해결방법에 대해서 찾아보았다.해결방법1. 4)열변형 오차는 공작기계의 상태와 주위 환경변화에 따라서 비반복적 ... 오차를 줄이기 위해서 비주기적인 보정이 필요시 접촉식 측정 프로브와 진구를 이용한 측정플레이트를 이용하여 공작기계 가공체적 내의 체적오차를 측정한 후, 피치오차 파라미터 보상법과 가공프로그램을
    리포트 | 5페이지 | 1,000원 | 등록일 2019.03.04
  • 스무살의 사회학 독후감입니다.^^
    지그제그로 갈등을 하면서 앞으로 나가는 이론을 지그제그 이론이라고 하는데 이것은 좋은 갈등이라고 할 수 있다. ... 사회는 정상적인 것을 규정하기 위해 비정상적인 것을 규정하고 낙인찍어야 한다. 비정상의 기준은 무엇일까? 비정상적인 것을 푸코의 용어에 의하면 ‘광기’라고 말을 한다. ... 뚱뚱해서 낙인찍히고 장애가 있으니깐 장애인이라고 낙인 찍는다.즉 사람들은 비정상적이라고 생각하는 사람들에게 낙인을 찍고 있었다.
    리포트 | 2페이지 | 1,500원 | 등록일 2015.01.26
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:22 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대