• 통큰쿠폰이벤트-통합
  • 통합검색(905)
  • 리포트(783)
  • 시험자료(63)
  • 자기소개서(23)
  • 서식(18)
  • 방송통신대(12)
  • 논문(5)
  • 노하우(1)

"지그비" 검색결과 161-180 / 905건

  • BluetoothZigBee 조사 보고서
    지그비 표준을 제정하기 위해 국제민간단체인 지그비 연합이 만들어 졌는데 이 단체가 IEEE802.15.4를 기반으로 표준스펙을 제정한 것이 지그비 스펙이다.지그비의 구조지그비는 계층 ... 물리(PHY)계층과 매체접근제어(MAC)계층은 IEEE802.15.4에서 정의 된 것을 수정하지 않고 그대로 사용하며, 네트워크(NWK)계층과 응용지원(APS)계층은 지그비 연합에서 ... 이와 같이 전자기기들을 무선 센서 네트워크를 구성하여 중앙집중식으로 컴퓨터가 관리하며 에너지 효율성과 비용의 절감을 달성할 수 있다.홈오토에이션과 빌딩자동화 부분은 지그비 무선기술이
    리포트 | 12페이지 | 2,000원 | 등록일 2017.11.21
  • 용접부의 재료시험 및 파괴검사
    , 그림 3과 같이 판 두께10~12mm의 맞대기 이음에서 직사각형 시험편을 잘라내어, 덧살 부분을 관면까지 삭제하여 두께 9.5mm로 한 평활한 굽힘 시험편을 그림 4의 형굽힘 지그로 ... 63T에 규격화되어있다.길이 14 폭 3.5 두께 1 의 시험편 표면중심에 여린 비드를 놓고 거기에 V노치를 붙인 것을 비드를 아래보기로 하여 그림 17.25와 같은 낙중 시험기의 앤빌
    리포트 | 15페이지 | 5,000원 | 등록일 2020.09.11
  • 기계공작실습 - 수기가공 실습
    인화성물질을 사용하는 실험에서는 화기엄금토록 하며 구급 및 소방장비 유지에 철저를 기할 것6. 인화성물질은 공기유통이 잘 되고 사람의 접근이 적은 곳에 격리시켜 보관할 것7. ... 하이트 게이지란 지그(jig)나 부품에 마름질을 할 때, 또는 구멍 위치나 표면의 점검 등에 사용하는 측정기이다.다음은 이번 실습에 사용된 하이트 게이지와, 이를 이용하여 금긋기로
    리포트 | 10페이지 | 1,500원 | 등록일 2020.05.26
  • 정보통신기사 필기 정리
    : 우리나라 DTV 표준으로 틀린 것. : [OFDM]“여러 개의 부반송파에 고속의 데이터를 저속 병렬로 변환시켜 전송 기법”5) 블루투스 : G-FSK 변조방식.6) 지그비: 코디네이터 ... : PDM펄스회로의 주기적인 듀티비(DutyRatio)는? ... [Vertical 핸드오버] : 비동기식 3G 이동통신에서 사용된다.: WI-FI에 적용가능한 MIH 기술을 포함.예제 페이딩 현상은?
    시험자료 | 4페이지 | 2,500원 | 등록일 2021.04.05
  • 정보처리기사 실기 신기술(2017~2019년 기출문제) 요약집
    취약점을 악용하여 이루어 지는 보안 공격.BCP(영업 연속성 계획) : 재난 발생 시 비즈니스의 연속성을 유지하기 위한 계획.Ex.) 9·11 미국 테러사건 이후 급부상하고 있는 개념.지그비 ... 가능한 것을 의미17년 3회사회공학(Social Engineering) : 컴퓨터 보안에서 인간 상호 작용의 깊은 신뢰를 바탕으로 사람들을 속여 정상 보안 절차를 깨트리기 위한 비기술적 ... 웹 애플리케이션의 제작을 위해 자바스크립트 언어와 기타 웹 표준을 사용하는 기술, 비동기식 자바스크립트 XML의 약어크리슈머 : 프로슈머보다 발전된 개념으로 소비를 통해 욕구를 충족하는
    시험자료 | 10페이지 | 2,000원 | 등록일 2019.09.28 | 수정일 2019.10.12
  • F199, SQ (용접_일반용접)업종평가시트
    56-1항6-2프레스 금형 및 용접 지그 점검 / 보수 기준 설정하여 관리상태46-2항공정관리7-1F/P.용접조건 설정 및 준수 관리상태.64.5점 미만시 과락제 적용7-2용접 작업자 ... 관리상태, 공정 3정 5행42-2항2-3LOT 추적성 관리 (원재료~완성품, 완성품 출하 등)64.5점 미만시 과락제 적용2-4중요공정 품질현황판 및 부품품질 통신문 관리상태42-4항설비관리3 ... 실시 상태.64.5점 미만시 과락제 적용7-3공정 재공품 및 완성품의 용접 스팩터, Chip, 버어, 이물질 관리상태47-3항7-4용접 작업자 교육 및 다기능화 관리상태47-4항설비관리8
    서식 | 2페이지 | 1,000원 | 등록일 2022.01.14
  • 4차 산업혁명의 이해 강의 정리
    Communication, 5G, IMT-2020)의 발전도 주목- IP를 사용하지 않는 기기 간 통신은 USB(Universal Serial Bus), 블루투스(Bluetooth), 지그비
    시험자료 | 46페이지 | 2,000원 | 등록일 2022.10.25
  • 조선대 디자인과 생활 인강
    디자인의 유래라틴어 명사 : 디세뇨(desegno, 계획, 설계)프랑스어 명서 : 데생(dessin, 단색으로 그린 그림)라틴어 합성어 : 데지그나레 (designare=de(분리하다 ... -경제성: 광고비가 저렴한 대신 광고 효과는 크다.나. 장점-매체로서의 신뢰도가 높으며, 정기구독자로 인한 주목률이 높다.-직업과 ... 있어야함목적지향 = 철저한 계획에 의해 제품의 목표하는 바를 달성하는 것개발 = 소비자의 필요성과 더불며 시각적으로나 개념적으로 대조되는 형태의 나란한 배치를 가르킨다.모빌은 시각적인 비대칭형의
    시험자료 | 31페이지 | 2,000원 | 등록일 2024.01.23
  • 취업과외 자료 - 공학계열 직무 소개 및 취업 준비 기초자료
    ( 공정자동화장비를 통해 Standard Time 단축 )- 장비 set up- 설비보존(보호, 정비)- trouble shooting-> 공정 개선 활동 & 작업 표준화 (위에 지그 ... 필요역량 - As is / To be / Action Item생산관리 :-직무분석 : 생산계획 수립, 부서간 업무조율, 엑셀사용분석산업공학적 지식(품질경영기사 자격증, 제조원가 및 재료비, ... 필요)" "동아리 총무"-전부서 최적의 생산계획 수립 '4M (Man, Machine, Material, Method)'-가동률 100%를 위한 인력/부품/일정 관리-공정관리개선(비효율발생시
    시험자료 | 9페이지 | 2,000원 | 등록일 2021.11.16
  • 사물 인터넷의 이해 중간고사 족보
    답: WSN에서 센서 네트워크의 센서노드 사이의1,2 계층은 지그비가, 3계층 이상은 IEEE02.15.4가 대표적이다.4) 블루투스(Bluetooth) 기술에 대한 설명으로 옳지 ... 비면허 원거리 통신 기술 (LoRaWAN:Long Range Wide Area Network)에 해당하는 것은?
    시험자료 | 10페이지 | 2,500원 | 등록일 2021.11.14
  • 4차산업혁명의 이해 족보
    기술-인간-사물-서비스를 연결하는데 필요-와이파이, 3세대 이동통신/4세대 이동통신/LTE 등이 대표적이다.-5세대 이동통신의 발전도 주목-IP를 사용하지 않는 기기 간 통신은 USB, 블루투스, 지그비 ... 20세기 후반 컴퓨터, 인터넷기반의 정보화 자동화엘빈토플러 -> 제 3의 물결컴퓨터,인터넷의 범용화로 정보와 지식공유로 인간의두뇌노동을 대체 증강제4차 ? ... 비영리 결제 네트워크 플랫폼,?스텔라 결제 네트워크에서 사용되는 가상화폐- 스토리지코인(STORJ) -?암호공유형 클라우드 저장 플랫폼을 기반으로 한 코인,?
    시험자료 | 56페이지 | 5,000원 | 등록일 2020.04.22 | 수정일 2022.12.23
  • 2020 공기업 기계직 기계설계 요점정리
    방법]- 용접 중 변형 방지: 가접- 용접 후 변형 방지: 피닝(용접 비드 표면을 해머로 두드려 소성 변형을 발생시켜 응력을 제거)- 암기법: 중가후피- 억제법: 일감을 가접 또는 지그 ... 마모를 고려해야 한다맞물림클러치- 미끄럼이 없어 정확한 속도비를 얻을 수 있다- 결합시 충격을 수반한다- 소형 및 경량화로 설계하여 관성력을 작게 한다. ... 필요 없고 용접부의 홈을 작게 할수 있어 용접재료의 소비가 적다- 용접변형이나 잔류응력이 적고 열에너지 손실이 가장 적다- 용입이 크므로 용접 홈의 가공정밀도가 좋아야 하며 설비비가
    시험자료 | 23페이지 | 5,000원 | 등록일 2021.11.27
  • 성균관대학교 음악의이해 음이 필기본 족보
    모음은 가장 앞에 나와있으나 비음이 섞여 독일어 이상으로 비발성적인 언어. ... 달리는, 빠른): 이탈리아 춤곡- 사라방드 (Sarabande): 17, 18세기 느린 3박자 계통의 스페인 춤곡- 미뉴에트 (Menuette): 프랑스어로 작은 스텝이라는 뜻- 지그
    시험자료 | 67페이지 | 2,000원 | 등록일 2024.01.12
  • 대학 디자인과 생활 수업 정리
    디자인의 유래라틴어 명사 : 디세뇨(desegno, 계획, 설계)프랑스어 명서 : 데생(dessin, 단색으로 그린 그림)라틴어 합성어 : 데지그나레 (designare=de(분리하다 ... -경제성: 광고비가 저렴한 대신 광고 효과는 크다.나. 장점-매체로서의 신뢰도가 높으며, 정기구독자로 인한 주목률이 높다.-직업과 ... 있어야함목적지향 = 철저한 계획에 의해 제품의 목표하는 바를 달성하는 것개발 = 소비자의 필요성과 더불며 시각적으로나 개념적으로 대조되는 형태의 나란한 배치를 가르킨다.모빌은 시각적인 비대칭형의
    시험자료 | 31페이지 | 1,500원 | 등록일 2020.10.30 | 수정일 2020.11.06
  • 상공회의소 4급 한자정리본
    쟁법 전앞 전펼 전싸움 전번개 전정할 정뜰 정情政正帝朝祖鳥族種住注竹뜻 정정사 정바를 정임금 제아침 조할아비 조새 조겨레 족씨 종살 주부을 주대 죽地指止紙直質集靑草村秋出땅 지가리킬 지그칠 ... 9車高工果交口女大力老立수레거수레차높을 고장인 공실과 과사귈 교입구계집 녀큰 대힘 력늙을 로설 립馬萬面母木目文門夫父山夕말 마일만 만낯 면어미 모나무 목눈 목글월 문문 문지아비 부아비 ... 비師死序先線城性所消素俗習스승 사죽을 사차례 서먼저 선줄 선재 성성품 성바 소사라질 소본디 소풍속 속익힐 습始時詩信神室實安案野約藥비로소 시때 시시 시믿을 신귀신 신집 실열매 실편안
    시험자료 | 6페이지 | 2,000원 | 등록일 2021.01.27
  • 산업안전기사 필기 요약정리
    일감 고정 방법- 일감 작을 때 : 바이스로 고정- 일감 크고 복잡할 때 : 볼트와 고정구- 대량 생산과 정밀도를 요할 때: 전용 지그를 사용2. ... 산업안전보건 관리비의 집행 감독 및 수급인간 협의 조정4. 안전인증 대상 기계·기구 등과 자율 안전 확인 대상 기계·기구 사용여부 확인5. ... 비금속 광물제품4. 화학물질 및 화학제품5. 목재 및 나무제품6. 기타 기게 및 장비7. 자동차 및 트레일러8. 고무제품 및 플라스틱9. 기타제품10. 식료품11. 반도체12.
    시험자료 | 18페이지 | 1,500원 | 등록일 2020.09.19 | 수정일 2020.09.20
  • 부품 견적서 양식
    ""1,768.6"10.17%제품길이 (폭)183616.51 1931726비고금형명금형비(천원)비 고냉간동시성형" 45,000,000 "1.0트리밍" 100,000,000 "1.0고주파지그 ... V인원적용C/T임율금액가공비소 계 -경 비'C/V기종C/T경비금액소 계 -'합 계 -제 조 원 가 -일반관리비 -기이윤 -타재료관리비 -비R&D비용'운반비산폐비' (상각처리)소 계 ... (천원)비 고' ※ 년도별 C9
    서식 | 1페이지 | 200원 | 등록일 2019.10.28
  • Z Wave(지웨이브) 의 이해
    Z WAVE목 차◎ Z WAVE 란? ◎ Z WAVE 규격 ◎ Z WAVE 특징 ◎ Zigbee Z-wave 특징 비교 ◎ Z WAVE 장단점 ◎ Z WAVE 국내동향 ◎ Z WAVE 국내외 상용제품Z-Wave 는 Denmark(덴마크) 회사인 Zensys (현재 Si..
    리포트 | 14페이지 | 2,500원 | 등록일 2016.10.11
  • 스마트 LED 조명 시스템
    지그비 통신이란,벌이 지그재그로 춤을 추면서 의사소통을 하는 것에서 따온 명칭의 근거리 통 ... 설정도 가능하다.예를 들면 기온이 영하일 때 파란색 조명이 켜지도록 설정하거나, 본인의눈 계정에 세 글이 등록됐을 때 조명을 깜박이게 하는 것 등이 가능하다.브릿지와 전용 램프는 지그비 ... 있다.자연광에 따라 실내 조명의 조도를 자동으로 조절해 소비량을 최소화하는시스템, 전동 블라인드, 공조기 등과의 결합을 통해 빌딩제어까지 가능해통합제어 시스템으로서의 편의성과 운영비
    리포트 | 7페이지 | 1,000원 | 등록일 2016.07.26
  • [통신공학]ZigBee의 특징 및 종류 등의 보고서
    개인 무선 통신 환경하의 저속 무선 데이터 통신을 위한 경제적인 솔루션 이며, 시스템에 ZigBee를 구현하는 비라 할 수 있다.4.ZigBee응용분야지그비(Zigbee) 기술은 홈 ... 네트워크, 빌딩 및 산업용기기 자동화, 환경 모니터링, 의료 분야, 자동차, 군사 분야 등 다양한 분야로 빠르게 성장하여 넓은 시장을 형성할 것으로 예상된다.가정 내에서의 지그비( ... 네트워크 망으로 연결되어 기존의 인터넷 망이나 인공위성을 통해 사용자들은 집안 내부나 외부에서 제어가 가능하게끔 하게 해준다.가정 내의 저속 데이터 전송을 필요로 하는 기기들은 지그비
    리포트 | 10페이지 | 1,500원 | 등록일 2014.03.28
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:07 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대