• 통큰쿠폰이벤트-통합
  • 통합검색(862)
  • 리포트(818)
  • 시험자료(30)
  • 자기소개서(7)
  • 방송통신대(6)
  • 논문(1)

"2:1 MUX" 검색결과 201-220 / 862건

  • VHDL 디지털 시계 digital watch
    2X1 MUX(6) FND 디코더[실습 결과]SW(RUN/SET)시간, 분, 초를 나타냄 시간Key 분Key 초Key 리셋시간 key를 누르면 시간이 1 증가, 분key를 누르면 ... VHDL]FND 출력이 6개인 이유:초 단위(1의 자리, 10의 자리) 2개 + 분 단위(1의 자리, 10의 자리) 2개 + 시간 단위(1의 자리, 10의 자리) 2개 = 6개component ... 증가한다.10의 자리 숫자는 cnt가 0~9일 때는 0을 유지하다가 10부터 12까지는 1의 값을 가진다.(3) MUX디지털 시계에서 MUX의 용도는 RUN과 SET를 구분하기 위해서다
    리포트 | 15페이지 | 2,000원 | 등록일 2017.11.26 | 수정일 2019.06.14
  • [기초회로실험] 멀티플렉서 결과보고서
    정보를 받아들여 2개의 선택입력에 의해 선택된 정보가 단일 출력선을 통해 신호를 전송한다.멀티플렉서의 진리표 및 부울함수아래는 4X1멀티플렉서(MUX)의 진리표와 부울함수이다.S1 ... 그리고 멀티플렉서와 반대의 목적에 사용되는 디멀티플렉서(Demultiplexer) 또는 디먹스(DeMUX)가 있다.멀티플렉서(MUX)는 n개의 선택선의 조합에 의해 선택된 2^n개의 ... 논리 회로를 멀티플렉서(Multiplexer) 또는 먹스(MUX)라고 한다.
    리포트 | 5페이지 | 1,000원 | 등록일 2018.05.18
  • 멀티플렉서(MUX)와 비교기(Comparator)설계
    MUX4X1 MUX 회로도4X1 MUX 구성4X1 MUX 진리표2. ... MUX와 비교기의 정의와 작동 방식을 알고 실습에 임한다. 먼저 2X1 MUX나 4X1 MUX를 여러 개 이용하여 8X1 MUX를 구성한다. ... 먼저 8X1 MUX는 2X1 MUX VHDL파일을 만들어서 component, port map구문을 사용하여 8X1 MUX에 불러와서 설계하였다. component가 구조적으로 어떻게
    리포트 | 13페이지 | 1,500원 | 등록일 2010.06.24
  • 논리회로 설계실험 mux
    2x1 MUX를 구현해야 한다.그림 2x1 MUX2x1 MUX는 말 그대로 2개의 입력을 받아 선택선에 따라 1개의 출력을 내보내는 MUX이다. ... 우선은 2x1 MUX를 구현? 2x1 MUX 7개를 연결? 어떻게 연결해야 하는 가를 결정? 각 2x1 MUX의 입력과 출력은 무엇인가?? ... (그림 2)그림 2x1 MUX를 이용한 8x1 MUX diagram즉, 2x1 MUX에 필요한 1비트의 선택선을 3 level로 연결하여 3비트의 선택선으로 이용하는 것이다.
    리포트 | 7페이지 | 1,000원 | 등록일 2009.07.10
  • 생년월일 발생기
    Term Project2. 3비트 8진 카운터3. 2to1 MUX 8진 카운터를 16진 카운터로 바꾸어준다.4. 8 to 1 MUX ; 생년월일을 선택 Select 로 카운터를 넣어 ... 1.
    리포트 | 4페이지 | 1,000원 | 등록일 2017.10.25
  • A+ 디지털 시스템 실험 Simple Computer – Data Path <10주차 예비보고서>
    to-1 MUX로 구성된다.- 연산 수행 제어를 위해서 {Cin, S2, S1, S0}의 제어 정보가 입력된다.A-1 Arithmetic Circuit- Arithmetic Circuit은 ... {Cin, S2, S1, S0}의 ALU Selection Bit를 정의한다.▶ MD : Mux D, Destination Register에 Microoperation 수행 결과를 ... 않을지를 정의하는 값이다.예1) Control Word 11/10/01/0/0001/0/1R3 = R2 + R1의 Microoperation을 정의하기 위한 Control Word이다.예2
    리포트 | 7페이지 | 1,000원 | 등록일 2017.07.05
  • Lab#05 Combinational Logic Design 2
    Prelab1. 3:8 Decoder7나. Prelab2. 2:1 Mux9다. Prelab3. 2bit 2:1 Mux11라. Prelab4. 4:1 Mux134. ... 실험결과 해석1) Inlab1 2bit 2:1 Mux2bit 2:1 Mux에서는 S값에 따라 I0나 I1의 값중 한가지 값을 취하게 된다. ... Prelab3 (2bit 2:1 Mux)Verilog codeTest BenchTiming SimulationFuctional Simulation2bit 2:1Mux에서는 2:1Mux에서와
    리포트 | 26페이지 | 1,500원 | 등록일 2016.09.11
  • 디지털시스템실험 12주차 결과리포트
    , Reg0,Reg1,Reg2,Reg3);MUX2to1 MuxB(ConstantIn,Bdata,selectB,MB);FunctionUnit U2(Adata, selectB, FS, ... ( S == 1'b0 )OUT = D0;else if( S == 1'b1 )OUT = D1;endendmodulemodule MUX4to1(D3,D2,D1,D0,OUT, S1, S0 ... Fout);MUX2to1 MuxD(DataIn,Fout,Ddata,MD);endmodule/**************************************************
    리포트 | 10페이지 | 2,000원 | 등록일 2018.01.03
  • 디지털시스템실험 10주차 결과리포트
    , Daddr, Aaddr, Baddr, Adata, Bdata, Reg0,Reg1,Reg2,Reg3);MUX2to1 MuxB(ConstantIn,Bdata,selectB,MB);MUX2to1 ... , Reg1, Reg2, Reg3;wire D3,D2,D1,D0;wire [3:0]q0,q1,q2,q3;DECODER2to4 U1(Daddr[1],Daddr[0],D3,D2,D1,D0 ... ] Reg0, Reg1, Reg2, Reg3;wire [1:0]Daddr,Aaddr,Baddr;wire MB,MD,RW;wire [3:0]FS;// Controlword 비트 각각에
    리포트 | 7페이지 | 1,500원 | 등록일 2018.01.03
  • VHDL-Post lab - Mux and DeMUX
    하지만 2x1 MUX 의 의미상 해석은 위에서 보는 것과 같이 동일하다. ... 실험 목적2. 실험 이론지식 Mux and DEMUX3. ... 간단한 MUX 2x1 을 통해서 VHDL 코드로도 여러 표현방식으로도 구현 가능하다는 것을 알려주는 실험이었다.
    리포트 | 10페이지 | 2,000원 | 등록일 2009.06.29
  • 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습4 [결과레포트]
    B가 2비트의 값을 갖도록 설정한다.회로는 아래 그림 2와 같이 설계한다.그림 SEQ 그림 \* ARABIC 2 2bit 2:1 MUX응용 과제 : 4:1 MUX- 총 4개의 입력 ... \* ARABIC 1 2입력 멀티플렉서표 SEQ 표 \* ARABIC 2 2입력 멀티플렉서 진리표SOutput0Z = I01Z= I1응용 과제 : 2비트 2:1 MUX입력 값 A, ... 값이 존재한다.- S0와 S1의 입력을 설정하여 4개의 입력 값 중 우리가 원하는 값을 출력할 수 있도록 한다.그림 SEQ 그림 \* ARABIC 3 4:1 MUX2.
    리포트 | 19페이지 | 1,000원 | 등록일 2017.10.19
  • 예비보고서 // 멀티플렉서, 인코더 및 디코더, 2진 4비트 가산기
    1.실험목적MUX/DEMUX와 Encoder/Decoder의 구조와 동작원리를 이해하고 이를 응용하는 능력을 기른다.1)4to1 MUX 와 1to 4DEMUX의 회로를 구성하고 동작을 ... 이해한다.2)3 to 8 MUX와 8 to 3 DEMDX 의 회로를 구성하고 동작을 이해한다.2.실험이론(멀티플렉스 MUX)멀티플렉싱이란 많은 수의 정보장치를 적은수의 채널이나 선들을 ... 통하여 전송하는 것을 의미디지털 멀티플렉서는 많은 입력선들 중에서 하나를 선택하여 출력선에 연결하는 조합회로이다.선택선들의 값에 따라서 특별한 입력선이 선택된다.정상적인 경우 2n개의
    리포트 | 13페이지 | 1,000원 | 등록일 2010.11.16 | 수정일 2018.09.10
  • Mux & Demux(멀티플렉서)
    buffer와 inverter를 결선하여 2x1 Mux를 설계해 보시오.※ 도움말: Buffer는 High or Low의 2-State(2상태) 출력을 갖는다. ... 입력원 D0, D1, D2, D3 중 하나를 선택하여 그 값을 출력 Y로 보낸다.IC로 만들어진 MUX는 다음과 같다. ... 다음과 같다.(1) 다음 회로도의 AND gate, OR gate와 Inverter를 결선하여 2x1 Mux를 설계해 보시오.※ 도움말 : AND 게이트와 OR 게이트의 bit mask
    리포트 | 9페이지 | 1,500원 | 등록일 2009.09.29
  • 논리설계실험 chap03 mux설계
    .- Case 구문을 이용하여 2x1의 MUX를 설계- 2x1을 이용하여 7개의 MUX를 이용하여 8x1 MUX 구현 설계- Case 구문만으로 8x1 MUX 구현 설계- If-then-else ... MUX2의 input에는 vector type I0와 I1, logic type S, output에는 vector type O를 넣는다.▶ 2x1 MUX 한 개를 통과할 때마다 한 ... 이 때 선택 선으로 제어를 하면서 한 채널에 다수의 정보를 순차적으로 보낼 수 있을 것이다.( 실 습 1 )- Case 구문을 이용하여 2x1 MUX를 이용하여 8x1 MUX를 구성
    리포트 | 16페이지 | 1,000원 | 등록일 2008.10.26
  • 인하대학교 디지털시스템설계 (verilog) 8 to 1 MUX 설계
    1. 과제목적1. dataflow modeling의 3가지 방법으로 MUX를 설계해보고 장단점 분석하기2. 다수비트의 입출력 시스템에 대한 설계를 익히기3. ... 고찰MUX를 만드는 코드를 3가지 배웠는데 이 3가지의 코드로 모두 구현해보았다.첫번째 코드는 부울대수의 지식만으로도 작성이 가능한 코드로써 매우 기초적인 코드이며 오타가 나기 제일
    리포트 | 12페이지 | 2,000원 | 등록일 2017.01.06 | 수정일 2018.03.24
  • Combinational-Logic-Design-Ⅱ-Decoder, Encoder and Mux
    .2비트 2 : 1 MUX 회로를 설계하기 위해 Source를 작성한 후 프로젝트에 Source를 추가한다.2비트 2 : 1 MUX 회로를 Synthesize – XST, Implement ... 2비트 2 : 1 MUX 회로 - Simulation과 장비에서 비교이론 : Simulation실험 :장비에서 확인I0 : 11, I1 : 01, S : 0I0 : 11, I1 : ... 이 결과 그대로 장비에서도 LED1에서는 불이 켜지지 않고 LED2에서만 불이 들어오는 것을 확인할 수 있었다.Inlab 2. 4 : 1 MUX 회로 : 이 실험에서는 각각의 버튼에
    리포트 | 19페이지 | 3,000원 | 등록일 2016.04.06 | 수정일 2017.03.08
  • 시립대 전전설2 [6주차 결과] 레포트
    A3, A2, A1, A0 데이터는 레지스터 B의 B3, B2, B1, B0으로 전송됨.이런 데이터 전송을 병렬 데이터 전송이라 함2. ... else if들의 조건문에 대해서 이해를 하고 조건문을 이용해서 인코더와 디코드를 설계하고 설계한 것을 토대로 실제 실험에서 코딩을 하여 원했던 코딩이 되었는지 확인을 하는 것이다. mux와 ... 때 바뀌는 것을 확인이 가능하다.(1)4비트 병렬 데이터 저장/전송 실험CLK1, CLK2 각각을 넣었을 경우!!
    리포트 | 16페이지 | 2,000원 | 등록일 2019.07.29
  • 05-논리회로설계실험-예비보고서
    실험 내용- 실험 1. 4:1 MUX를 설계하시오.(1) 4:1 MUX1) 진리표2) 모델링 (Procedure 사용)모델링library IEEE;use IEEE.STD_LOGIC_ ... 경우도 가능함을 의미Y = S1’* S0’I0 + S1’* S0 * I1 + S1 * S0’* I2 + S1 * S0 * I3(4) 디멀티플렉서 (DEMUX)- MUX의 반대개념의 ... - Input2);elseX := '1' & ((not(Input2 - Input1))+"0001");end procedure Pr_KYK1;procedure Pr_KYK2 (Input1
    리포트 | 9페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 논치회로실험 결과 4
    Multiplexer (MUX)멀티플렉서란2^n개의 입력선과 n개의 신호선택선 그리고 1개의 Enable 입력선을 통해 원하는 자리의 출력을 단일 출력으로서 내보내는 회로를 뜻한다. ... 또한 E입력이 0이 아닌 1일 경우 MUX 동작이 하지 않는데, 이 때문에 모든 LED가 Off된 것을 확인할 수 있었다. ... D _{2}D _{1}D _{0}Y0110111001110001실험1.2 ?
    리포트 | 9페이지 | 1,500원 | 등록일 2016.09.24 | 수정일 2018.10.08
  • Combinational Logic Design Ⅱ Decoder, Encoder and Mux
    1, 24 : 1 MUX 회로를 설계하시오입력 A, B, C, D : 버튼 스위치 1, 2, 3, 4입력 S : BUS Switch 1, 2출력 Q : LED 1Materials ... 2. 2x1 MUX 회로Source핀 설정Text FixtureSimulationInlab 3. ... 3.응용과제2비트 2 : 1 MUX 회로를 설계하시오입력 A : BUS Switch 1,2입력 B : BUS Switch 3, 4입력 S : BUS Switch 8출력 Q : LED
    리포트 | 16페이지 | 1,000원 | 등록일 2016.04.06
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:57 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대