• 통큰쿠폰이벤트-통합
  • 통합검색(862)
  • 리포트(818)
  • 시험자료(30)
  • 자기소개서(7)
  • 방송통신대(6)
  • 논문(1)

"2:1 MUX" 검색결과 161-180 / 862건

  • 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습4 [예비레포트]
    2:1 MUX TestBench_1그림 SEQ 그림 \* ARABIC 11 2bit 2:1 MUX TestBench_2그림 SEQ 그림 \* ARABIC 12 2bit 2:1 MUX ... 8 2bit 2:1 MUX code2bit 2:1 MUX를 기기와 연결하기 위한 PIN설정 code는 아래 그림9와 같다.그림 SEQ 그림 \* ARABIC 9 2bit 2:1 MUX ... Modeling으로 설계된 1bit 2:1 MUX의 코드는 아래 그림 4와 같다.그림 SEQ 그림 \* ARABIC 4 2:1 MUX code2:1 MUX를 Simulation 하기
    리포트 | 15페이지 | 1,000원 | 등록일 2017.10.19
  • 글로벌리더 대장정 면접준비
    반면, 1개에서 2개 소비하게 되면 10에서 7로, 2개에서 3개?를 소비하게 되면 7에서 2로, 3개에서 4개 소비하게 되면 2에서 -4로 떨어지게 되는 것이다.??[2. ... → x재의 한계효용 = MUx =? 효용의 변화분 / x재의 소비량 변화분?한계효용 체감의 법칙?:? ... 은행 종류 : 제1금융권 / 제2금융권 / 제3금융권?제1금융권 : 예금을 받아서 대출해주는 것으로 먹고 사는 전형적인 은행으로 다시 세 가지로 분류된다.
    자기소개서 | 13페이지 | 3,000원 | 등록일 2020.12.28
  • 수원대 컴퓨터 구조 중간고사 요약
    신호를 발생시키는 장치- 멀티플렉서(MUX): 제어 신호를 받아 여러 데이터패스 중 하나를 선택하는 장치* 제어장치의 제어 신호들- ... rs2, L1 ->rs1값=rs2값 이면 L1으로 점프bne rs1, rs2, L1 ->다르면 L1으로 점프imm[11:5]rs2rs1funct3imm[4:0]opcode7비트 55357 ... n-k) = 2^(n+1) - 2^(n-k)1) multiplier의 마지막비트+캐리비트를 본다2-1) 11이거나 00이면 아무것도 하지 않는다2-2) 01이면 product의 1~
    시험자료 | 29페이지 | 1,500원 | 등록일 2023.01.07
  • 통신직군무원 면접 전공기출질문 및 답안 80개
    일정진폭의 반송파 위상을 2등분,4등분,8등분 등으로 나누어 각각 다른 위상에 0또는 1을 할당하거나 2비트 또는 3비트를 한꺼번에 할당하여 상대방에 보내고 수신측에서는 이를 약속된 ... QPSK는 위상편이방식의 하나로, 두 값의 디지털 신호 0과 1의 2비트를 모아서 반송파의 4위상에 대응시켜 전송하는 방식을 말합니다. ... 말해보시오PCM의 3단계 과정을 말해보시오순단의 뜻을 말해보시오압신기란 무엇입니까ADM(적응델타변조)와 ADPCM(적응차분펄스부호변조)의 특징을 비교해보시오재생(3R)의 기능을 말해보시오MUX
    자기소개서 | 17페이지 | 9,900원 | 등록일 2021.09.23
  • 정보처리기사요약(2.전자계산기구조)
    z3) 반 감산기(Half Subtracter)― 2진수 1자리 뺄셈기.4) 멀티플렉서(Multiplexer : MUX)― 2n 개의 입력선 중에서 하나를 선택하여 출력 선으로 전달하는 ... 부호와 2‘는 -0이 없다.부호와 1의 보수-(2n-1-1) ~ 2n-1부호와 2의 보수-2n-1~2n-1-1② 10진 표현종 류특 징-123 표현Pack 형식한 수 표현시 4bit ... (P1 P2 8 P3 4 2 1)2) 수치적 데이터 표현Pack 형식10진 표현Unpack 형식정수부호와 절대치수의 표현2진 표현(고정 소수점)부호와 1의 보수부호와 2의 보수실수
    시험자료 | 16페이지 | 3,500원 | 등록일 2021.05.24
  • 정보처리산업기사요약(5.정보통신개론)
    정보통신개론◎ OSI 7 계층1. ... (감독 프레임, 오류제어와 흐름제어), U 프레임(비번호 프레임, 링크의 동작모드 설정과 관리)- FCS(Frame Check Sequence Field) : 오류검출* 다중화기(MUX ... 필요한 장치 간의 실제 접속과 절단 등 기계적, 전기적, 기능적, 절차적 특성을 정의- 물리적 전송 매체와 전송 신호 방식을 정의한다.- RS-232C, X.21 등의 표준이 있다.2.
    시험자료 | 7페이지 | 3,500원 | 등록일 2021.05.26
  • VHDL실습 디지털 시계
    . 2x1 mux에는 and gate2개와 or gate1개, not게이트가 필요하므로 계층적으로 구조화 하여 설계한다. ... 그럼 위 과정의 순서대로 디지털시계를 설계하는 것을 적어보려 한다.2.실습내용2x1 MUX프로젝트를 새로 생성할 때, 평소에는 다음과 같은 창에서 그냥 넘어 갔지만 지금은 component문을 ... 보드 상에 시각을 fnd로 표현하기 위해 설계해야 한다.그런데, ①번의 2x1 MUX 에서도 디지털시계를 설계할 때와 같은 방식으로 component문을 사용하여 구조화 하여야 한다
    리포트 | 14페이지 | 2,000원 | 등록일 2019.04.20
  • 6주차 MUX and DEMUX
    정보를 전달한다. en은 Enable단자로써 en의 값이 0 일때는 출력값을 0으로 보내며, en의 값이 1일때는 Enable단자가 없는 mux와 똑같이 동작한다.책에서 배웠듯이 입력은 ... 실험 1.1. 2x1 multiplexer의 구현2x1 multiplexer의 회로를 나타낸 사진이다. ... 2^1개이며 선택선은 1개이다.2) wave창 캡쳐위의 사진은 2x1 multiplexer의 동작을 보여주는 wave파형이다.
    리포트 | 8페이지 | 1,000원 | 등록일 2014.10.12
  • 논리회로실험) Mux and Demux 결과
    기존에 있던 실험과는 달리 New Project를 할 때 필요한 MUX 와 DEMUX 의 .v 파일을 직접 끌어와서 추가시켜야 한다.1. 2 x 1 Multiplexer (MUX) ... MUX 일 때는 입력이 2ⁿ이고, DEMUX 일 때는 출력이 2ⁿ이다 . ... - Input 에 따른 Output 의 결과 출력 값.* 실험 분석- 이번 실험에서는 2 x 1 MUX 와 1 x 2 DEMUX 를 직접 Quartus II 에 회로를 구현하고 FPGA
    리포트 | 10페이지 | 2,000원 | 등록일 2014.01.06
  • VHDL을 이용한 Mux, Demux, incoder, decoder, FND 설계 및 실습
    실습목표 :(a) 1비트 2x1 Mux Schematic & VHDL(b) 2비트 2x1 Mux Schematic & VHDL(c) 1비트 1x2 Mux Schematic & VHDL ... (d) 2x4 Decoder Schematic & VHDL(e) 4비트 4x1 Mux Schematic & VHDL(f) 0~f FND Decoder (VHDL만)을 Schematic과 ... 이것은 논리게이트를 스위치로 사용할 수 있음을 말한다.(2) Mux (Multiplexer)Mux는 Multiplexer의 줄임말로 우리말로는 데이터 선택기라고 한다.
    리포트 | 16페이지 | 1,000원 | 등록일 2014.05.31 | 수정일 2014.06.02
  • MUX&DEMUX 결과레포트
    1. 실험제목: MUX & DEMUX2. ... MUX 이다. ... 실험방법 및 결과1) MUX(a) (b)① 회로를 구성하라.< a의 회로를 breadboard에시연> < b의 회로를 breadboard에시연>② 진리표를 완성하라.ES _{1}S
    리포트 | 7페이지 | 1,000원 | 등록일 2012.11.22
  • 디지털논리회로실험(Verilog HDL) - Switches, Lights, Multiplexors
    N-bit MuxEx) Two 4-bit inputs, A(a3,a2,a1,a0), and B(b3,b2,b1,b0)-> 4-bit 2x1 mux (just four 2x1 muxes ... 실험PART Ⅰ : 8-bit 2-to-1 mux? 실험목적 : 8-bit 2-to-1 mux를 구현한다.? ... 실험목적: 3-bit 5-to-1 mux를 구현한다.?
    리포트 | 6페이지 | 1,000원 | 등록일 2019.08.29
  • [예비레포트] 멀티플렉서를 이용한 조합논리
    I 38-to-1 MUX는 4-1MUX2개와 2-1MUX로 만들어지거나2-1MUX4개와4-1MUX1개로 만들어 질 수 있다.8-TO-1 MUX는 3개의 SELECT BIT를 가진다MUX를 ... 입력은 비교되는 두 수를 나타내는 A2,A1과 B2,B1이다.입력이 A2,A1,B2입력이 MUX의 SELECT입력으로 연결되어 있음을 주목하라. ... =디코더한 개의 입력이 여러 개의 출력 중 하나로 연결된다.1-to-4DMUX4-to-1 MUX4x1 MUX : Y= S1 ’S0 ’I 0+S1 ’S0 I 1+S1S0 ’I 2 +S1S0
    리포트 | 4페이지 | 1,000원 | 등록일 2019.07.22
  • 인하대 디지털 시스템 설계 2주차 과제
    * 썸네일을 참고해주세요.
    리포트 | 5페이지 | 1,000원 | 등록일 2018.09.29
  • 디지털회로실험 2장 예비보고서 - MUX
    실험 예비 보고3.1 32대 1 MUX에서는 최소 몇 개의 선택선이 필요한가?- 32는이므로 5개의 선택선이 필요하다.3.2 4대 1 MUX에서의 두 선택 선을 A와 B라 하자. ... B를 이 MUX로 구현하는 MUX 그림을 그려라.0MUX1103.3 2개의 4대 1 MUX를 갖는 74153 칩의 핀 구성도를 인터넷에서 찾아서 그려라.3.4 앞 실험 3.3번을 기초하여
    리포트 | 2페이지 | 1,000원 | 등록일 2012.12.17
  • 디시설 - 멀티플렉서, 디멀티플렉서 설계
    여기서 4:1 MUX의 선택단자는 S1, S0 2개이고, 이것은2^{ 2} = 4개 의 입력 데이터를 선택할 수 있음을 의미한다. ... [표 3-1] 멀티플렉서의 진리표S_{ 1}S_{ 0}Y00I_{ 0}01I_{ 1}10I_{ 2}11I_{ 3}2. ... 아래의 [그림 3-1]은 4:1 MUX이고, 그 진리표를 작성한 것이 [표 3-1]이다.
    리포트 | 7페이지 | 1,000원 | 등록일 2019.07.20
  • [논리회로 및 실험1 결과보고서] 실험 12. 멀티플렉서를 이용한 조합 논리 결과보고서
    이것이 데이터 선택기인 MUX의 기본 기능이다.MUX로 2비트 비교기를 구성하는 방법은, 일단 A1A2, B1B2 라는 4개의 입력에 의해 A라는 2진수와 B라는 2진수를 비교하여 ... 아래 그림의 회로는 4:1 MUX를 사용하고 있다. 입력은 A2, A1, A0 이다. ... 따라서 출력 X가 1인 입력조합(예를 들면, A2 = 0 / A1 = 0 / B2 = 0 / B1 = 0)에서 LED가 작동하는 것이 맞다.사실 이론시간에 멀티플렉서에 대하여 배웠을
    리포트 | 7페이지 | 2,000원 | 등록일 2019.04.26 | 수정일 2019.05.27
  • 멀티플렉서와 디멀티플렉서
    ~D4)의 입력에 따라 Y의 출력값이 결정되는 것인데 S1과 S2의 입력이 MUX의 입력에 영향을 미치는 D를 결정한다는 특징이 있다. S1.S2가 0이면 D? ... 결과1)74LS153 MUX 회로몇 개의 데이터 입력을 받아들여 그들 중에 선택된 입력만을 출력하는 논리 회로인 멀티플랙서2) 74LS138 DEMUX 회로하나의 입력을 여러 개의 ... 출력중의 하나로 전달하는 디멀티플랙서토의74LS153 MUX 회로는 통신에서 주로 사용되는 것이며 1초 동안 16개의 출력을 할 수 있는 것이 특징이다.
    리포트 | 3페이지 | 2,000원 | 등록일 2019.06.25
  • 멀티플렉서와 디멀티플렉서
    _1`S_0`O`0 00 11 01 10111MUX를 사용한 반가산기와 전가산기의 구현74153 칩 하나에는2 times 4`MUX 2개가 있다. ... 이때 선택선S_1`및S_0`는 동시에 2개의 MUX를 함께 제어한다. ... 사용할 때, MUX의 출력이 AND 게이트처럼 동작하도록I_0`,I_1`,I_2`, 그리고I_3`을 1 또는 0으로 적절히 세팅할 수 있다.S_1`S_0`O`0 00 11 01 10001S
    리포트 | 8페이지 | 1,000원 | 등록일 2019.06.27 | 수정일 2020.05.01
  • 시립대 전전설2 [5주차 결과] 레포트
    실험2비트 2 : 1 MUX 회로를 설계하시오 (case 문 사용)CASE문으로 설계한 MUX시뮬레이션의 TEST BENCH2 : 1 MUXQ1Q0A[1]A[0]B[1]B[0]S10100002 ... CASE문을 사용하여 설계를 하였고 MUX 2:1 같은 경우는 방금앞의 3X8의 디코더보다는 조건의 갯수가 적어(입력과 출력의 갯수가 상대적으로 적다) CASE문에서의 Q=A,Q=B일때 ... 세번째 실험으로는 MUX를 이용하였다.
    리포트 | 14페이지 | 2,000원 | 등록일 2019.07.29
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:45 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대