• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(14,799)
  • 리포트(13,411)
  • 시험자료(501)
  • 자기소개서(473)
  • 방송통신대(180)
  • 논문(157)
  • ppt테마(29)
  • 서식(25)
  • 이력서(22)
  • 노하우(1)

"C모듈" 검색결과 201-220 / 14,799건

  • 논리회로설계실험 5주차 Encoder 설계
    마지막으로 설계한 module을 testbench code를 이용하여 Modelsim의 simulation을 이용하여 wave를 확인하였다. ... 또한 testbench code를 직접 짜면서 4:2 Priority encoder를 테스트하는 코드를 짜보았는데, input과 output부분, module instantiation ... 아래와 같이 코딩하였다.다음으로 model instantiation 부분에서는 위에서 구현한 세가지 모듈을 호출하고, input에 위에서 선언한 A_4_TO_2_P, B_4_TO_
    리포트 | 6페이지 | 3,000원 | 등록일 2023.09.11
  • 인하대 전자회로실험1, 전자공학실험1 LCD
    이런 문제를 개선하고자 개발된 I2C LCD모듈이 있는데, 오늘 실험을 통해 배운 내용을 바탕으로 다음에는 I2C LCD모듈 실험을 해보고 싶다.과제① USART 통신 이용한 LCD ... 마지막으로 lcd.blink 함수로 cursor의 깜빡임을 추가해주었다.이번 실험을 통해 아두이노의 LCD모듈을 다루는 방법을 배우고, 문자 출력을 직접 해봄으로써 원리를 이해하였다 ... ch = (char)Serial.read();//문자 하나하나 입력 받음str += ch; //각각의 문자를 합하여 문자열 완성str1 = str.substring(0,15); /
    리포트 | 5페이지 | 1,000원 | 등록일 2021.04.01
  • 디시털시스템실험 - BCD 컨버터, 7seg 회로, 7seg 순차 컨트롤러 결과보고서
    first를 선언하며 인자로 P3, P2, P1, P0, a1, b1, c1, d1, e1, f1, g1 을 전달함.//14// BCD_to_7segment 형 모듈 second를 ... 선언하며 인자로 P7, P6, P5, P4, a2, b2, c2, d2, e2, f2, g2) 을 전달함.//15~16// SevenSeg_CTRL 모듈을 호출하고 인자로 nClk ... .//1// module segment(Ain, Bin, nClk, nRst, oS_COM, oS_ENS );//2// input [3:0]Ain,Bin;//3// input nClk
    리포트 | 6페이지 | 1,500원 | 등록일 2020.11.14
  • 마이크로프로세서+1주차+결과보고서-GPIO로 LED켜기, 스위치 눌러 LED 불켜기
    , Keypad, Freq Measure, Interrupt Analysis Module 장착●Option Module Interface→추가로 제공되는 옵션 모듈의 연결을 위한 인터페이스 ... 그리고 에 while문이 사용되는 이유, char의 특성 등을 찾아보게 되었다.두 번째 실험은 바로 스위치 모듈과 LED모듈을 연결하여 스위치에 따라 LED가 켜지는 것을 확인하는 ... 실험이론HBE-MUC-Multi 구동●MCU Module Zone→MCU Module Zone 장착●56mm*45mm Module Zone→LED, FND, Array FND, Text
    리포트 | 7페이지 | 2,000원 | 등록일 2020.01.02 | 수정일 2020.11.12
  • 서강대학교 21년도 디지털회로설계 - 엘리베이터 설계 프로젝트 보고서(A+자료)
    모듈, 버튼이 눌렸을 때 해당 버튼의 플립플랍에 값을 저장하는 모듈, 현재 층수 및 동작상황과 눌려져 있는 버튼에 따라 df를 연산하는 모듈, df에 따라서 state가 바뀌면서 ... 만들어서 구현했다. 3clk_counter는 “00”이었다가 clk edge가 발생할 때마다 하나씩 증가하는데, 3clk_counter가 “01”이 되는 순간 초기화되면서 level을 ... 또한 5clk_counter를 clk edge마다 하나씩 증가시키고, counter가 “011”이 되었을 때, df를 3층으로 바꾸고, state A 로 이동시킨다.
    리포트 | 10페이지 | 2,000원 | 등록일 2021.06.30 | 수정일 2022.09.23
  • [조선대] 소프트웨어공학 18년도 기말고사 문제 (답 포함)
    ① 인과 그래프 (cause-effect diagram)② 모든 실행문 커버리지 (statement coverage)? ... 화이트박스 테스트에서 다음의 코드에 대해 분기/결정 검증 기준 (branch/decision coverage)을 따른다면 최소 몇 개의 테스트 케이스가 필요한가? ... 모듈 간의 결합도와 응집도를 높인다.(응집도는 높이고 결합도는 최소화)? 모듈은 독립적인 기능을 갖도록 설계해야 한다.8. 기본 설계 시 이루어지는 활동이 아닌 것은?
    시험자료 | 3페이지 | 5,000원 | 등록일 2023.12.06
  • [방통대][물류관리]22년 중간과제물
    던체법을 적용해서 풀기도 하지만, 단일 모듈성 속성으로 인해서 특별히 고안된 해법이 존재하기도 하는 것이다. ... 즉, 단일모듈성이라는 속성을 가지므로 독특한 형태의 제약조건식 그룹을 구성하게 되는 것이다.그리고 이 문제는 목적이 운송 비용의 최소화이므로 전형적인 최소화 문제에 해당된다. ... 그리고 수요지 을에는 공급지 C 110톤을 배정한다. 그래서 공급지 C는 물량이 70톤이 남아 있기 때문에 이 물량을 수요지 병에 배정을 하는 것이다.
    방송통신대 | 5페이지 | 2,000원 | 등록일 2023.05.11
  • 인하대 전자과 디지털논리회로 2019 verilog과제
    임의의 두 입력을 비교하여 결과를 출력하는 comparator모듈을 완성하고 이를 검증하기 위한 테스트벤치 모듈을 작성. 시뮬레이션 결과를 분석하시오. ... 코드의 빈칸 안에 알맞은 신호를 넣어 Fulladder 모듈을 완성하고 이를 검증하기 위한 테스트벤치 모듈을 작성. 시뮬레이션 결과를 분석하시오. 2. ... 코드의 빈칸 안에 알맞은 신호를 넣어 4비트 Fulladder 모듈을 완성하고 이를 검증하기 위한 테스트벤치 모듈을 작성. 시뮬레이션 결과를 분석하시오.Design 21.
    리포트 | 5페이지 | 5,000원 | 등록일 2020.07.08
  • (A+/이론/예상결과/고찰) 아주대 통신실험 결과보고서3
    (Zero crossing)4) What happens to the envelope of the AM signal when the frequency of the modulating ... (이해 상충: conflicts of interest, 공적인 지위를 사적 이익에 남용할 가능성)3. ... stage if the message is to be demodulated correctly??
    리포트 | 15페이지 | 1,500원 | 등록일 2021.10.24
  • 헝가리 건축공사 단가표
    , cable protection, outdoor power supplier, boxes, etc.)"" ... Module'DQ 16×24VDC/0.5A ST'EA1108.9270108.927108.93504AI Module'AI 8×U BA'EA7220.249120220.249121541.74504AO ... -6 PN HF with Server Module/BusAdapter'EA1343.508620343.50862343.51504DI Module'DI 16×24VDC ST'EA296.98962096.98962193.98504DO
    리포트 | 1페이지 | 2,500원 | 등록일 2023.06.05 | 수정일 2023.06.18
  • 서울시립대 전전설2 Lab-04 결과리포트 (2020 최신)
    실습 1~2의 모듈과 시뮬레이션 내용은 상기에 정리되어있다. ... 결론combinational logic의 첫 시간인 lab-04에서는 module instantiation 방식을 통해 이미 만든 half adder를 사용하여 full adder를 ... 이 때, module instantiation을 이용해 구조적 모델링 설계 능력을 함양한다.
    리포트 | 15페이지 | 1,500원 | 등록일 2021.09.10
  • A+ 광통신 - 9. PCM 변조 방식
    CODEC)을 이용한다.즉, PCM이란 음성신호와 같은 아날로그 신호를 PAM 신호로 변조한 후 양자화(Quantization) 및 부호화(Encoding)을 거쳐 Digital code로 ... 개념- 펄스 코드 변조(PCM, Pulse Code Modulation)는 화상, 음성, 동영상 비디오, 가상현실 등과 같이 연속적인 시간과 진폭을 가진 아날로그 데이터를 디지털 신호로 ... 표본화(샘플링)- 음성과 같은 안날로그 신호를 디지털화하기 위해 일정한 간격으로 샘플링을 하여야 한다.- PAM (Pulse Amplitude Modulation)을 이용한다.- 주어진
    리포트 | 9페이지 | 1,500원 | 등록일 2024.02.05
  • 캡스톤 디자인 전선점검로봇 최종 결과보고서로써 제작과정 및 소스코드 첨부되어있습니다.
    처음 블루투스 HC-06 모듈을 사용하였는데 스마트폰과 페어링이 되지 않아 소스코드와 연결 핀을 여러 번 수정하였으나, 모듈의 고장으로 확인되어 HC-05 모듈을 사용하여 동작을 성공시켰다.그림 ... 원격제어는 블루투스 모듈 HC-05를 사용하여 모터를 제어했고, 와이파이 모듈이 부착된 ESP8266 보드와 Aducam을 사용하여 실시간으로 영상을 송출하여 점검이 가능하도록 했다 ... 사물인터넷, 로봇 카메라로 응용하기에 적합하여 이 모듈을 사용하였다.와이파이 통신을 통한 영상 송출을 위해 와이파이 모듈인 esp8266이 탑재된 아두이노 보드(그림2.2-13)를
    리포트 | 12페이지 | 10,000원 | 등록일 2021.01.01 | 수정일 2021.10.14
  • 조선대 신재생공학 기말 정리본 및 시험문제 체크 폐기물E~시스템 점검
    접속함의 역할1) DC간선 집합 및 모듈라인 단순화2) 고장구간 check3) 각 고장 전류에 대한 보호기 내장4) string check5) 기상센서 T/D 장착6) 비상AC전원 ... 일사량 단위 : [ cal/㎡·min ], [kWh/m2 ? 기간], [J/m2 ? 기간] ,[Kcal/m2*day]? ... 80℃로 정하고 있다.- 주위 온도가 40℃이면 측정된 온도가 120℃까지는 문제가 없다.- KS규격에서는 단자부의 온도상승한계를 60℃로 규정하고 있다.부품명온도상승한계[DEG C]
    시험자료 | 25페이지 | 3,500원 | 등록일 2022.12.20
  • 직류회로 결과보고서
    전압 센서는 하단과 상단으로 부위가 나뉘며 하단에는 모듈의 접지 기능을 하는 +, 모듈의 입력 전원의 기능을 하는 -, 모듈의 신호를 출력하는 S로 존재한다. ... cat=128 ... ec%b9%99-%eb%b0%9c%ea%b2%ac/?
    리포트 | 2페이지 | 2,500원 | 등록일 2024.01.22
  • 인하대 fpga 3주차 먹스, 디코더보고서
    1bit adder를 선언한뒤 3개를 instatiation해와서 만드는 것 처럼, 3bit adder와 decoder또한 각각 선언한 뒤에 top module에서 wire를 이용해 ... 이번 과제에서의 포인트는 복잡한 모듈을 한꺼번에 처리하려고 하지 말고 낱개로 쪼개서 만든뒤에 탑 모듈로 합쳐주는 개념을 잘 사용해야 했다는 것이라고 생각한다. 3bit adder를 ... LAB2.2to1 MUXmodule a2to1 MUX(input a,input b,input sel,output reg out); /*모듈이름은 a2to1 MUX이고 각각 인풋 아웃풋들을
    리포트 | 10페이지 | 3,000원 | 등록일 2020.07.07
  • 교환학생 영문 학업계획서 / 수학계획서 유럽권(세르지퐁투와즈 대학) 합격자료
    & Field Trip (module 2). ... According to the curriculum, this program offers classes on French Culture (Module1) and French Language ... I can broaden my horizon with the French culture.
    자기소개서 | 1페이지 | 3,000원 | 등록일 2020.11.08
  • 설비제안서 및 회사소개서
    consumption μA 6.0 6.0 5.0 Stand-by current consumption μA 0.5 0.5 0.2 Overcharge detection Vol V 4.425 ... ) PCM(Protection Circuit Module) SCM(Smart Circuit Module) JMK NETWORKS 는 휴대폰 / 노트북 / 테블릿 PC/ 전동공구용 ... Optical Resolution : 42um FOV : 54(mm) X 67(mm) Illumination : LED 16 이차전지tion IC 와 MOSFET 또는 일체형 Package 모듈
    ppt테마 | 6페이지 | 2,500원 | 등록일 2023.07.27 | 수정일 2023.08.10
  • QML 기본문법
    위치의 x좌표y: 위치의 y좌표z: 상대적 위아래anchors: 위치 지정용 (추후 설명)visible: 화면에 표시/숨김opacity: 불투명도❖ Text의 주요 속성font: 폰트color ... 모듈에 포함된 타입을 사용하기 위해서는 반드시 import 구문을 사용해야 함.import 모듈 major.minor❖ ItemItem은 모든 visual type의 기본 타입. ... .// 한줄 주석/*여러 줄 주석*/❖ import 구문해당 모듈의 특정 버전을 불러온다.
    리포트 | 20페이지 | 1,000원 | 등록일 2022.04.17
  • A+ 정보통신실험 7주차 예비보고서 - 진폭 변조 회로
    DSB-SC (Double Sideband Suppressed Carrier)※ Modulated Signals_m (t) = A(t)cos omega _c t = m(t)cos omega ... Modulated CarrierA(t)cos( theta ) = A(t)cos(2pift + phi(t) )? 3 parameters: 진폭, 주파수, 위상? 진폭 변조? ... 변조(modulation)● 신호를주어진통신채널에적합하도록신호를조작하는과정● 일반적으로 신호가 원래 가지고 있는 주파수 범위(기저대역: baseband)보다 충분히 큰 주파수대역으로
    리포트 | 13페이지 | 1,500원 | 등록일 2024.02.05
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:46 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대