• LF몰 이벤트
  • 파일시티 이벤트
  • 유니스터디 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(641)
  • 리포트(624)
  • 자기소개서(8)
  • 시험자료(7)
  • 논문(1)
  • ppt테마(1)

"CAG PCI" 검색결과 201-220 / 641건

  • 성인간호학 심근경색 케이스스터디
    CABG, PCI 실시함? ... 없음 □있음최근투약상태 당뇨약 복용 - 5년전 진단 후 본원에서 약 복용고혈압약 복용 - 외래에서 타간 약에 고혈압약 오잘탄, 콩고르병에 대한 인식 2일전 본원 심장내과 진료후 CAG등 ... .50mgD1콜로스타정로수바스타틴칼슘5.2mg동맥경화용제복합형 고지혈증, 복합형 고지혈증, 심혈관 질환에 대한 위험성 감소20mgD1에피언트정프라수글렐염산염 5.49mg동맥경화용제PCI
    리포트 | 10페이지 | 2,000원 | 등록일 2022.02.11
  • [고려대 교육대학원] 간호교육이론 종합고사, 기말고사 정리파일
    54세 성별 : 남자C.CChest pain진단명HTM, Dyslipidemia, Angina pectoris입원동기및현 병력상환 2년 전 Chest pain으로 본원 CA에서 CAG ... ER 도착 직후 arrest 상황 발생하여 CPR 4min시행 후 ROSC된 상태로 intubation, PCI시행 후 중환자실 입원함. ... 후 LAD 98% stenosis 진단 받고 PCI하시고 외래 f/up 중인 분으로 반년 전부터 chest pain 등의 증상 없어 외래 f/up self cut 하심.
    시험자료 | 9페이지 | 3,000원 | 등록일 2022.08.09 | 수정일 2022.12.06
  • 성인간호학 순환기 dilated cardiomyopathy (DCMP, 확장성 심근병증) case study 케이스 스터디
    -시술부위에 발적, 부종, 정맥제, 항응고요법 등-CAG검사 전후 간호를 실시한다. ... #TTE 결과: NSTEMI, 1VD(PCI to RCA): DCM with LBBBPoor echo window1. ... 의식을 잃지는 않았지만, 띵하게 어지러운 느낌과 아뜩하고 정신이 없고, 토할 것 같다고 하였다*응급실초진, PI or PHX: NSTEMI(PCI to RCA), LBBB, underlying
    리포트 | 12페이지 | 2,500원 | 등록일 2021.02.24 | 수정일 2021.03.24
  • 17회 판매!!후기좋음! 협심증 A+받은 간호과정 케이스
    pericardial dffusioncoments : ischemic insult of LAD, RCA territories C s/p CABG◆PTCA stent insert(4/26)=CAG ... &PCI-시작~종료 : 2016-4-26 09:11~2016-04-26 09:50-puncture site-Rt radial-PTCA stent insert(one vessel), ... occlusionprevious stented in LMCA to pLCX No ISRPRCA 90% stenosis(ISR)dRCA(PL) 80% stenosis(ISR)rec)PCI
    리포트 | 30페이지 | 3,000원 | 등록일 2020.12.17 | 수정일 2024.04.26
  • 성인간호학-MI (심근경색) case study (간호진단및 과정 4개 있습니다. A+ 성적 받은 자료)
    ~10일Myoglobin2~3시간6~9시간24시간Troponin T or I4~6시간10~24시간10~14일4)영상검사① 관상동맥조영술 ( coronary angiography, CAG ... )PCI는 대퇴동맥이나 요골동맥을 통하여 유도도자(guidingcatheter)를 관동맥 입구에 삽입시키고 유도철선을 이용하여 풍선도자, 절제도자, 스텐트 등으로 협착병변을 넓히는 ... 선택적으로 각 혈관에 방사선 조영제를 주입하면서 여러 각도에서 관상동맥의 해부학적 모양을 동영상피적 관상동맥 중재술 (Percutaneous Coronary Intervention-PCI
    리포트 | 27페이지 | 2,500원 | 등록일 2020.10.10 | 수정일 2020.11.01
  • ANGINA1
    ) 관상동맥 우회술(CABG)CAG(coronary angiography) ① femoral atrery or radial artery로 도관을 삽입하여 관상동맥 입구에 위치 시킨 ... 불구하고 폐부종이나 ACE Inhibitor 좌심실 구출률이 ≤0.4 환자Treatment 3 관상동맥재관류 요법 혈전용해요법(Thrombolytics) 경피적 관상동맥 성형술(PCI
    리포트 | 24페이지 | 1,500원 | 등록일 2020.05.24 | 수정일 2020.05.31
  • 싼 값) 협심증 케이스, angina pectoris
    &PCI 시행함Rt. arm saveBPPRBT11/279A138/72682036.63P135/70661836.39P128/66652036.311/289A132/65661936.53P130 ... 활력징후: 혈압 132/59 체온 36.2 맥박 66 호흡 205) 신체계측: 체중 68kg 신장 160.3cm6) 입원동기와 주증상: 내원 한달 전부터 chest pain 지속되어 CAG ... nature: 답답하고 무거운 것을 올려 놓은 느낌situation: 쉴때도 가끔 느낌location: sternal~leftfrequency: 5회/day11/28 13:00 CAG
    리포트 | 7페이지 | 2,000원 | 등록일 2021.09.23
  • 성인간호 A+ 심장내과 병동 실습 CASE STUDY
    & PCI시술과정Successful PCI with stenting at pm RCA2. ... , 장소, 시간 인식의식명료의사소통원활정서상태양호시술일자2016.03.22집도과순환기내과수술시간1537~1620담당의xxx진단명Angina/Atypical chest pain시술명CAG
    리포트 | 18페이지 | 5,000원 | 등록일 2020.09.18 | 수정일 2020.10.06
  • 15회판매!! A+ 받은 협심증 케이스
    pericardial dffusioncoments : ischemic insult of LAD, RCA territories C s/p CABG◆PTCA stent insert(4/26)=CAG ... &PCI-시작~종료 : 2016-4-26 09:11~2016-04-26 09:50-puncture site-Rt radial-PTCA stent insert(one vessel), ... occlusionprevious stented in LMCA to pLCX No ISRPRCA 90% stenosis(ISR)dRCA(PL) 80% stenosis(ISR)rec)PCI
    리포트 | 31페이지 | 2,500원 | 등록일 2020.08.19 | 수정일 2023.11.21
  • 콜린성 및 아드레날린성 약물/고혈압,심부전,협심증,심근경색증,부정맥 약
    ): 가장 정확경피적 심혈관 중재술(PCI): 풍선확장술, 스텐트 삽입술 -> 시술관상동맥 우회술(CABG): 막힌 혈관 多 or PCI가 부적합한 경우 -> 수술협심증 치료제유기 ... 지속적인 가슴 통증(통증 오래 지속):nitroglycerin으로 완화 X진단: 심전도/cardiac marker/EKG를 통해 손상 부위 간접적으로 확인치료)심장 동맥 조형술(CAG
    시험자료 | 14페이지 | 1,500원 | 등록일 2023.05.05
  • 심근경색(MI) & 협심증(angina) 문헌고찰, 간호과정
    이상 유무를 관찰할 수 있으며, 경색의 크기를 정량화 하고, 경색이 오지 않은 심근의 수축력을 관찰하여 좌심실 구혈율(normal EF>55%)을 구할 수 있다.5) 심혈관조영술 (CAG ... ★경피적 관상동맥 중재술(PCI : Percutaneous Coronary Intervention)약물에 반응하지 않는 협심증이나 심장 손상이 크고 피가 잘 통하지 않는 심근경색증 ... 환자에서 좁아져 있는 관상동맥을 넓혀주기 위함이며, PCI는 대퇴동맥이나 요골동맥을 통하여 유도도자를 관동 소변량을 많게 하는 이뇨작용을 하여 탈수가 생기면서 콩팥기능 저하가 발생할
    리포트 | 33페이지 | 4,000원 | 등록일 2020.07.11 | 수정일 2021.06.09
  • A+보장 성인간호학실습 협심증 case(angina pectoris)
    cPCI(경피적관상동맥개입술)발생시작일2019.01.20입원동기본인 명치, 뻐근, 쓰린, 40분)과 동반증상(양어깨, 팔로 뻗치는 양상, 식은땀)있었고, 내원 7일 전 쉬는 중 흉통 ... CAG(관상동맥 조영술)6) 치료 및 간호가. 통증조절? 통증완화약물(morphine, nitroglycerin)이나 aspirin을 투약한다.? 산소공급? ... 성별M결혼여부기혼시술일2019.01.22.종교무발병일2019.01.20.입원기간2019.01.21.~2019.01.24.(1) 일반정보진단명stabel angina pectoris주증상chest pain수술명CAG
    리포트 | 21페이지 | 3,000원 | 등록일 2020.06.09
  • 협심증 CASE STUDY
    130/80 O2 Sat : 97%환자 t-piece extubation 10L/min 투여함③ 병원도착 후부터 현재까지의 경과CAG 결과 우측관상동맥 폐색으로 스텐트 삽입하여 PCI시술 ... 시행함.EKG change 는 보이지 않았다 함 14시경, BP 감소 소견 보였으며, A.fib RVR 양상 관찰되었고, 심초음파상 ant. wall hypokinesia 소견 관찰되여, CAG
    리포트 | 20페이지 | 3,000원 | 등록일 2020.01.24 | 수정일 2020.01.27
  • 성인간호학실습 STEMI 케이스스터디 (심근경색)
    ↑↑심근허혈(심근손상)Lactate4.5-19.8 mg/dl22.1↑↑심근염, 심부전, 심근경색, 조직관류저하- 심전도 결과 : ST 분절 상승 → 심근경색 진단- 관상동맥조영술(CAG ... 검사, 기타혈액검사, 핵의학 검사증상흉통흉통, 호흡곤란, 불안, 오심과 구토, 발열 등치료경피적경혈관관상동맥확장술(PTCA)+Stent삽입섬유소 용해요법, 경피적 관상동맥 중재술(PCI ... -insert한 부위의 발등 맥박이 잡히는지 확인한다.-3시간 뒤에 lab check11/09-pLAD total occlusionrec : PCI for pLAD3) 치료 및 간호가
    리포트 | 18페이지 | 2,000원 | 등록일 2020.08.10 | 수정일 2021.08.20
  • 협심증 질환레포트
    *수술적(시술적) 치료수술적 치료에는 다음과 같은 2가지의 방법이 가장 많이 이용된다.① 경피적 관상동맥 중재술(PCI): 풍선확장술, 경피적 관상동맥 성형술(PTCA): 심도자술을 ... 그 방법에는 treadmill EKG검사, 핵의학 동의원소를 이용한 심근 단일광자단층촬영, 도부타민 부하 심초음파가 비교적 흔하게 이용되는 운동 부하검사법이다.심혈관조영술(CAG)은 ... 협심증의 진단검사:검사는 대개 기본적인 피검사, 심전도, 흉부단순촬영을 시행하고 이와 더불어 심장혈관조영술(CAG), 심장초음파(Echo), 경식도 심장초음파(TEE)와 운동부하검사를
    리포트 | 6페이지 | 1,000원 | 등록일 2020.03.13 | 수정일 2021.03.13
  • A+ (응급실) 급성 심근경색 케이스
    drowsy □ stupor □ semicoma □ coma과거력 □ DM ■ HBP(10년전 진단) □ Tbc □ Hepatitis □ Allergy(drug) □ 기타 ( )수술력 CAG교육정도 ... PO medLasix, Elroton, Albis D Tab- 보호자에게 가슴통증 있으면 시술 후 2일 있다가 퇴원하나 심부전 있으면 더 지켜봐야 해서 병동으로 갈 것 설명함.- PCI ... 요법보다 더 많이 실시하고 있다.환자의 연령, 협착 및 폐색된 관상동맥 수 등을 고려하여 응급으로 실시한다.- MI 환자에서 심근의 수축과 이완 능력의 이상 유무를 관찰할 수 있다.- PCI
    리포트 | 20페이지 | 4,000원 | 등록일 2020.03.21
  • 폐부종/심성폐부종 케이스 A+자료/심인성폐부종/pulmonary edema
    10/12로 연기됨10/12- CAG, PCI 시행(Lt. radial, 6Fr, OM/pLCx ballooning)- 탄력 붕대 이용해 dressing 유지 중- 시술 후 배뇨함 ... moderate, 지속적, 복부 전체), 설사 2회 → levopride 1tab 투여함10/11- 복부불편감 지속(WB 4점), 설사 5회 → levopride 1tab 투여- 당일 CAG
    리포트 | 46페이지 | 4,500원 | 등록일 2021.04.21 | 수정일 2022.09.19
  • [A+] 성인간호학 Cardiac arrest 케이스 스터디
    ----------------------------------------------------------------------------------------------------CAG ... 관상동맥(심장동맥) 협착부에 풍선카테터를 삽입하여 풍선을 팽창시키고 협착부를 확장시키는 치료법이다.]나 PCI[경피적관상동맥개입술(피부경유오른심장동맥개입술). ... PCI를 시행하여 온 경우 당일 퇴원은 불가하며 식의요법과 약물치료를 꾸준히 해야 한다.간호진단------------------------------------------------
    리포트 | 12페이지 | 5,000원 | 등록일 2022.06.23 | 수정일 2022.07.01
  • Pneumonia 폐렴 케이스 간호과정 5개 간호진단 2개
    and PCI 시술 도중 2time CPR- 2020.1.31.~2020.2.11. ... BPN - 기장병원- 10년전 협심증 - 기장병원- 10년전 다반사 신경증 - 기장병원- 10년전 수면장애 - 기장병원- 2년전 치매 - 기장병원- 2020.1.30 본원 MI -> CAG
    리포트 | 16페이지 | 2,000원 | 등록일 2021.08.10
  • [A+] STEMI 심근경색 case study - MICU
    PCI, CAG 하고 옴.11AM. ... Physical assessment)3) 신체검진① 활력징후② 체중변화③ I/O④ 혈당⑤ 욕창위험사정⑥ 통증사정4) 치료 및 경과5) 검사① lab data② 영상의학과③ EkG④ PCI
    리포트 | 20페이지 | 2,500원 | 등록일 2020.02.10
AI 챗봇
2024년 09월 03일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:45 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대