• 파일시티 이벤트
  • 유니스터디 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(641)
  • 리포트(624)
  • 자기소개서(8)
  • 시험자료(7)
  • 논문(1)
  • ppt테마(1)

"CAG PCI" 검색결과 161-180 / 641건

  • VSIM Junetta Cooper 간호사정
    96%첫번째 심장효소검사 (-), 심전도 변화 (-), 간헐적으로 통증 지속됨O2 1L nasal prong5% dextrose 100ml/hr IV내일 (10/16) 관상동맥조영술(CAG ... 응급 침습적 치료(120분 이내의 경피적 관상동맥중재술(Percutaneous Coronary Intervention, PCI))가 적용되지 않는 환자의 불안정형 협심증 또는 비-ST분절상승
    리포트 | 5페이지 | 2,500원 | 등록일 2022.12.11
  • [간호학과/케이스스터디/최종석차 과탑/A+과제] NSTEMI, Acute Subendocardial Myocardial Infarction (간호진단2. 간호과정2)
    : Femoral Artery (Both)PCI : LAD Stent * 2투약 : Isoptin 0.2mg + NTG 50 unit/cc : 7cc + Heparin 5000unit기타 ... Femoral (CAG Site)] 좌상: 알 수 없음 과거: 알 수 없음 현재: 무 욕창: 무4. 의사소통영역(1) 의사소통 유형언어적: 정상 / 비언어적: 정상5. ... :16] Stent [14:23] 종료 [14:45]비고 : Heparin IV Pause / Peniramin 4mg / Dexamethasone 5mg IV[Procedure]CAG
    리포트 | 43페이지 | 5,000원 | 등록일 2024.02.05 | 수정일 2024.02.15
  • 급성 관상동맥증후군, 부정맥, 고관절 골절 대상자 간호
    억제비타민 K 길항제 : Wafarin (경구)Heparin저분자량 헤파린 : dalteparin직접트롬빈 억제제 : argatrobanapixabanedoxaban섬유소용해제혈전 제거PCI가 ... 단백질이 괴사성 심근의 경우 심장의 근육이 괴사되며 혈중으로 다량 방출 ▶ 이를 확인하는 검사손상의 정도에 따라 효소 방출의 양 결정관상동맥조영술(coronaryangiography,CAG ... 수축 ▶ 혈액의 흐름 감소 ▶ 흉통 유발 시 ▶ 조영제를 주사하여 관상동맥의 형태나 이상을 관찰하는 방법혈관의 막힌 부위와 심한 정도를 확인하여 이후의 치료 방법 결정STEMI : CAG
    리포트 | 17페이지 | 3,000원 | 등록일 2024.05.03
  • 성인 CASE STUDY - 심근경색 (myocardial infarction), 문헌고찰, 간호과정,
    증인 분으로 F/U CAG 위해 OPD ? ... (경피적 관상동맥 개입술) 후 계속되는 통증으로 외래하여 CAG 시술 후 3A 병동에 입원하였다.간호문헌조사환자의 호흡과 심맥관계 상태, 전신증상과 징후, 투여된 모든 약과 환자의 ... β교감신경 차단제, 항혈소판제, 안지오텐신 전환효소 억제제, 변 연화제, 헤파린 등이 투여된다.사례대상자대상자는 Chest pain 발생으로 2018. 02월에 본원 STEMI PCI
    리포트 | 13페이지 | 3,000원 | 등록일 2020.01.09
  • 관상동맥 우회술 심혈관계 중환자 간호
    넓어서 심실부정맥 특이 심실세동이 발생하면 의식소실이 되며 5분이내로제세동을 하지 않을경우 비가역적인 뇌손상이 올수 있다.우리나라는 심근경색증이 발생하여 응급실 도착시 2시간이내로 CAG를 ... 실시 하여 치료 하도록 되어있고, 조기에 치료할수록 효과가 크다.심근경색 치료약물요법심장혈관중재술(PCI, percutaneous coronary intervention) 및 스텐트시술 ... 선택한다.심근경색의 합병증가장 심각한 합병증은 사망, 경색의 범위에 따라 다르나 초기 3개월 내에 약 10~50%사망의 원인은 주로 심실 부정맥(ventricular fibrillation)PCI
    리포트 | 13페이지 | 5,000원 | 등록일 2022.10.24
  • 심혈관조영술 컨퍼런스PPT
    대상 -unstable angina, stable angina, myocardial infarction -CAG상 50%이상 직경이 좁아진 경우, -단면적 혈류로 보면 75%이상의 ... /Cr ▶ IV route 확보(18G Lt arm) ▶ Emotional care ▶ sand bag demerol prepare◈ Post - angiography care ▶CAG
    리포트 | 42페이지 | 4,000원 | 등록일 2020.11.18 | 수정일 2021.02.28
  • [성인간호학실습 내과병동 컨퍼런스 A+자료] 울혈성심부전 케이스-문헌고찰/시나리오/투여약물/진단검사
    TTE-EF 10~17%, RWMA (-).PCI를 시행하지는 않음. → CAG 결과 상에서 관상동맥이 막힌 부분이 없었으며, RWMA 결과에서 negative로 측정되었기 때문에, ... PCI는 시행하지 않음.(3) 2021년 dyspnea로 응급실 내원하여 본원 IMC로 입원함. ... PCI를 시행하지 않은 것으로 추정됨.-2021년, 11/11 dyspnea로 입원함.입원 시 상태-입원 당시 활력징후: 100/62-84-20-37.2-입원경로: 휠체어를 타고
    리포트 | 20페이지 | 2,000원 | 등록일 2022.04.11 | 수정일 2022.04.13
  • 통증, 무력감 간호과정
    5잔 1~2회 /주흡연■ 무 □ 유--> /일주호소복부 통증발병시기 및경과2017년 Cardiac arrest로 제세동 실시하여 ROSC되었던 환자로 당시 NSTEMI 진단받고 PCI ... 일반사항이름석O0성별 / 나이M/00직업사업체 운영교육정도고졸종교무교결혼여부기혼가족구성원배우자, 딸가족내 역할남편, 아버지의학진단명Chest pain입원일2022년 11월 14일수(시)술명CAG수 ... 조영술시 혈액응고 예방투여 전 aPTT 수치를 확인하며, 투여 후 출혈 증상이 없는지 확인한다.CAG 약물Visipaque 320mg (iodixanol) 100ml1ⓥ*1회*1 일
    리포트 | 19페이지 | 2,500원 | 등록일 2024.03.02 | 수정일 2024.03.06
  • 통합실습 심장동맥질환 시나리오 문헌고찰
    /PCI 시행을 위해 06월 25일 흉부외과로 입원하셨다. ... 흉통이 있어 타병원 내원하였고, 2023년 5월 8일 coronary CT 촬영 결과: 우관상동맥(RCA), 좌전하행관상동맥(LAD), 좌회전 관상동맥(LCX)에서 죽상경화 발견되어 CAG ... 심장 핵 뛰어나 뇌CT 검사로는 찾기 힘들 정도의 작은 혈관에 나타나는 허혈성 변화를 조기에 발견하고 위치를 확인 가능5) 관상동맥조영술(Coronary angiography, CAG
    리포트 | 16페이지 | 3,000원 | 등록일 2024.05.12
  • 급성심근경색 케이스, 성인간호학 케이스 , 간호진단 5개, 간호과정 3개
    퇴행성 경도 AS(대동맥 협착증)CAG(75%)1/16- Findingcoronary three ? ... 질환NSTEMI특허 mLCX 스텐트 사이트(좌측 회선분지)dLM-pLAD(main 부분- 좌전하행지부분까지), ISR(스텐트 내 재협착)에서 스텐트 혈관 성형술을 통한 성공적인 PCI ... vessel diseaseNSTEMIpatent mLCX stent siteSuccessful PCI with stent angioplasty at dLM-pLAD, mLAP ISR해석관상
    리포트 | 26페이지 | 4,000원 | 등록일 2024.05.26
  • 성인간호학 실습 NSTEMI (비ST분절 심근경색증) 케이스 (피로, 감염의 위험, 불안정한 혈당 수치의 위험)
    CAG: 5F JR4.0 & 5F JL3.5 catheter was used1) LMCA - insignificant stenosis2) LAD - insignificant stenosis3 ... 또한 혈관 협착의 정도의 의의를 정확히 평가+ PCI의 결과 포함· Right femoral artery was punctured(우측 대퇴동맥을 통해 시행)1. ... One stage PCI for mRCA: 6F ART4.0 guiding catheter was used- Lesion length: 28mm- Balloon dilatation
    리포트 | 31페이지 | 3,000원 | 등록일 2022.07.25 | 수정일 2022.08.18
  • [성인간호학] 협심증 CASE STUDY (A+보장자료) - 간호진단 3개, 간호과정 2개
    PM1:00 심혈관계 중환자실에 CAG(관상동맥조영술)과PCI(관상동맥 스텐드삽입술) 시행하기 위해 입원하였다. ... 비대상자(예 : 병소가 길거나 접근 곤란) ④ 지속되는 흉통으로 PCI에 실패한 환자에게 권장된다.①관상동맥 우회술(Coronary Artery Bypass Graft surgery ... 재형성CABG 수술을 통한 관상동맥 혈관 재형성은 ① 내과적 치료에 실패한 환자 ② 좌주관상동맥 또는 3개의 혈관이 모두 이환된 환자(three-vessel disease) ③ PCI
    리포트 | 9페이지 | 2,500원 | 등록일 2021.01.01
  • 성인간호학 CASE STUDY (심근경색) 간호과정 꼼꼼하게 작성함! -일반사정, PBL, 진단검사, 약물까지 상세히 분석되어있으며 간호 계획 및 수행 또한 믿고 보셔요!
    호흡곤란은 없다.6) 순환기계 :STEMI 판정을 받았고 CAG, PCI를 한 후 맥박과 SpO2는 다 정상이다. ... 오전 두 번째 PCI를 시행하기 위해 8시간 금식을 시행하였고 검사 전 BP:110/70mmHg, P:80, SpO2:99%측정되었다. ... PCI 중재를 통해 LA에 2.5x18 biomatrix (NC)+2.5x33biomatrix(NC)-95% dLA(2.2)를 삽입하였다. angio 검사를 하고 난 후 MICU로
    리포트 | 15페이지 | 3,000원 | 등록일 2020.12.22 | 수정일 2021.04.20
  • 신규간호사 약어
    체질량지수12BPHbenign prostatic hyperplasia; 양성전립선비대증13BSOBilateral Salpingo - Oophorectomy; 양측난관난소절제술14CAG ... Syndrome; 난소 과자극 증후군73OPDOut Patient Department; 외래74PCApatient-controlled analgesia; 자가 통증 조절 진통제75PCI
    리포트 | 5페이지 | 1,000원 | 등록일 2021.12.09
  • NSTEMI 케이스 스터디 A+자료
    & PCI (10/25)→ 3 vessel disease with PCI for LAD/LCX3) ECHO (11/4)Borderline LVE with moderate LV systolic ... bilateral pleural effusion(11/4): chest 5P 결과 bilateral pleural effusion with free fluid shifting (L>R)2) CAG
    리포트 | 16페이지 | 3,000원 | 등록일 2022.02.21
  • A+ 급성심근경색(AMI) CASESTUDY- 간호과정 2개, 간호진단 10개(급성통증, 비효율적조직관류, 출혈위험성,감염위험성, 비효과적 호흡양상, 불안, 낙상위험성, 활동지속성장애, 피부통합성장애의위험, 지식부족)
    의식은 명료했고, 몹시 아파보이는 외관을 보였다 과거력으로 2012년 STEMI 진단 및 PCI 시술을 하였고, 2013년과 2022년 CAG f/u을 했다. ... myocardial infarction)-NSTEMI* 대상자 간략 정보(현재력)성별남나이만 68세입원일전동일2022.12.26.2022.12.31시술일시술명2022.12.29관상동맥중재술(CAG
    리포트 | 45페이지 | 2,500원 | 등록일 2024.02.29
  • A+ [성인간호학실습] 중환자실 CCU STEMI 심근경색 케이스스터디 case study
    / PCI[0425]- distal LMCA 70% stenosis,- LAD os: 18회/분숨을 쉴 때 흉곽의 크기가 대칭적임.O2 inhalation 2L nasal prong ... NTG (Nitroglycerin) 1T, Astrix 300mg PO loading 후 내원함.동반증상 : N/V (Nausea/vomiting)경감/악화요인 : 없음치료내용 : CAG ... MI로 인한 쇼크 시 사용Macperan 10mg/amp 1AMP QD 1day IV5 → 대상자 오심 호소Peniramin 4mg/amp QD 1day IV → 수술, 검사를 위함CAG
    리포트 | 36페이지 | 3,500원 | 등록일 2022.08.10
  • 간이식수술간호과정(간호진단-1개)
    Unstable angina의 치료로 중국에서 stent insertion을 받았으며 2016년에 본원에서 CAG를 시행하였고 CAOD 3VD로 PCI를 RCA에 시행하였다. ... PO qd, Atozet 10/10mg tab 1tab PO qd, Norvasc 10mg tab 1tab PO qd, Plavix 75mg tab 1tab PO qd 추후관리 : PCI이후
    리포트 | 12페이지 | 1,500원 | 등록일 2022.10.14
  • 협심증 케이스
    &PCI검사 목적증상은 있으나 협심증의 집단이 확실하지 않아 진단을 확실히 하기 위함.CAG로 막힌 혈관을 확인 후 좁아진 혈관을 풍선도자법과 스텐트삽입술 시행하기 위함.검사 적용협심증 ... A.fib ST elevation11/25: Ectopic atrial bradycardia평가11/21: 동리듬11/22: 심방세동 ST분절 상승11/25: 이소성 심방서맥검사명CAG
    리포트 | 5페이지 | 3,000원 | 등록일 2024.01.24
  • A+ 심근경색(STEMI) CASE STUDY 급성통증, 비효과적 건강관리, 혈당수치의 위험, 낙상의 위험, 가족 과정 기능장애
    1Vial IVS- 12/2 흉통 호소 NRS 3점 → Morphine sulfate 小 1mg/1ml 1Vial IVS- EKG 결과V _{2}~V _{5} ST elevation- CAG ... 심장표지자 중의 하나이나 심작 특이성은 부족하여 심근경색을 진단하기에 제한적이다.(3) 관상동맥조영술STEMI 환자는 관상동맥조영술을 90분 안에 시행하거나, 경피적 관상동맥중재술(PCI ... 예방을 위해 식사를 규칙적으로 하고, 저혈당을 대비해 과자나 사탕을 가지고 다니도록 한다.* 대상자 간략 정보(현재력)성별남나이만 71세입원일전동일0000. 12. .01수술일수술명PCI
    리포트 | 19페이지 | 3,000원 | 등록일 2023.12.04 | 수정일 2023.12.18
AI 챗봇
2024년 09월 03일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:48 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대