• LF몰 이벤트
  • 파일시티 이벤트
  • 유니스터디 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(641)
  • 리포트(624)
  • 자기소개서(8)
  • 시험자료(7)
  • 논문(1)
  • ppt테마(1)

"CAG PCI" 검색결과 81-100 / 641건

  • 급성관상동맥증후군에 대한 약물평가와 최신지견
    CASE SELECTION 흉통으로 응급실 내원한 환자로 고지혈증 병력을 가지고 있으며 STEMI 진단 후 관상동맥조영술(CAG) 및 경피적 관상동맥 개입술(PCI) 시행으로 경색 ... Aspirin은 평생동안 복용해야 하며, P2Y12 수용체 억제제 약물은 PCI 시행 후 최소 1년간 복용한다. ... 약리작용과 효능 아스트릭스캡슐 100mg(aspirin enteric Gr.) qd pc • 약리: 프로스타글란딘 생성에 관여하는 효소인 COX-1, COX-2 억제 • 효능: PCI
    리포트 | 1페이지 | 2,500원 | 등록일 2023.04.09
  • A+ 시뮬레이션 통합간호 실습 알고리즘
    & PCI↓↓대상자가 “이제는 좀 나아졌어요.”라고 이야기함수술 전·후 간호 시행심근경색 간호 알고리즘 2대상자는 아픈 신음소리와 함께 흉통을 호소함↓통증의 강도와 양상 사정,활력징후 ... SpO2 99%, EKG 동리듬, NRS 2점,Lab 결과 BNP 1549pg/ml, troponin-T 451 ng/L, CK-MB 2.14 ng/mL→EKG 변화 발생→주치의 보고↓CAG
    리포트 | 3페이지 | 1,000원 | 등록일 2023.07.24
  • 협심증 문헌고찰
    )을 시행하기도 함.PCI(Percutaneous Coronary Intervention, 경피적 관상동맥 중재술) : 우선 혈관 조영술(CAG)를 시행하는데 CAG 검사 결과 혈관 ... 중간에 심각한 협착이 있을 경우 치료를 결정 하고 PCI를 시술함.먼저 가느다란 철사가 병변을 통과한 이후 이 철사를 따라서 풍선을 통과 시키고 수 초간 풍선에 압력을 주어 병변을 ... 심리상태, 일반건강상태, 관상동맥의 협착 및 폐색범위, 영향을 받은 관상동맥의 수, 수술 후 예후- 수술방법으로는 심근 재 혈관화 수술이 있으며 수술하지 않고 경피적 관상동맥 중재술(PCI
    리포트 | 7페이지 | 1,000원 | 등록일 2019.09.19
  • 심근경색케이스(간호진단 3개 / 출혈 위험성, 불안, 비효과적 건강유지)
    .- 9:35AM CAG 상 RCA total occlusion 발견되어 ballooning 후 stent 삽입함.- 11AM RFA pacemaker 유지중이며 Rt. femoral ... Cardioechography(입원clinical information : 3vd, s/p PCI for RCA (2015.4.20)1. ... days23:022 days04:533 days04:15PT sec11.9~14.8sec12.6[소견]Clinical information : STEMI (inf leads)* CAG
    리포트 | 12페이지 | 3,000원 | 등록일 2020.12.10 | 수정일 2021.06.05
  • 심근경색, 심근경색증 case study, 간호과정, 간호진단 3개, 성인간호학, 성인간호학 실습
    .- 심혈관조영술 (Cardiac Angiography, CAG)경색혈관을 찾아서 협착 정도와 부위를 진단함과 동시에 비경색혈관의 협착 정도도 진단할 수 있어서 확진 검사로 이용된다 ... 갑작스러운 폐색은 PCI 후 첫 24시간 내에 발생할 수 있는 합병증이다. ... PCI 후 재협착이 일어날 수 있으며 시술 후 첫 30일 이내에 가장 위험이 높고 1년 내 주로 발생한다.
    리포트 | 48페이지 | 2,000원 | 등록일 2023.06.07 | 수정일 2023.11.24
  • [A+인증O] 성인간호학 심근경색 급성관상동맥증후군 ACS STEMI 간호과정 및 PICO 근거기반간호중재 / 심장중환자실(CCU) 케이스
    EKG결과 ST분절 상승으로 STEMI진단을 받고 CAG결과 RCA total occulusion 발견되어 PCI at RCA one Ultimaster stent 후 경과 관찰을 ... 현재 대상자는 심근경색으로 PCI 시술 후 CCU로 전동온 상태이다. PCI 이후 재협착 가능성이 있고, Heparization 중이므로 출혈의 위험성이 있다. ... 조용제 유발 신장병증은 급성 신부전의 원인 중 하나이고, PCI는 CT에 비해 조영제 사용량이 많은 것으로 알려져있다.
    리포트 | 20페이지 | 2,500원 | 등록일 2024.06.08 | 수정일 2024.06.14
  • 협심증 CASE STUDY
    되었는데 뛴 직후 급격한 Chest pain 발생하여 Local에서 진료받았으나 Angina 의심된다며 큰 병원 갈 것을 권유하여 11/18 외래로 본원 입원하게 됨. 11/18 CAG ... Radial artery 통하여 총 2회 PCI 시술 (11/18, 11/21),Post PCI Mental status: Alert, PCI site oozing, hematoma ... tubular 70% stenosis, RCA: P-mRCA diffuse stenosis up to 90% stenosis with heavy calcification 확인되어 바로 PCI
    리포트 | 15페이지 | 2,000원 | 등록일 2022.12.29
  • 성인시뮬레이션 심정지 사례연구(간호진단 2개)
    MI로 CAG & PCI적용? IV(Lt arm에 적용)- 중외헤파린 25000 I.U/5ml? ... 경피적 관상동맥 중재술(PCI)? 섬유소 용해요법? 약물 : 니트로글리세린, 모르핀, β-교감신경 차단제, 항혈소판제-간호-?
    리포트 | 5페이지 | 2,000원 | 등록일 2024.01.24
  • 2023년도 중앙대학교병원(흑석) 신규간호사 직무 기출 + 공부 방법 + 후기
    PCI (percutaneous coronary intervention, 경피적 관상동맥 중재술)? ... CAG (coronary angiography, 관상동맥 조영술)? ESRD (end-stage renal disease, 말기 신장 질환)▶ 2일 차 의학용어?
    자기소개서 | 4페이지 | 5,000원 | 등록일 2023.03.25 | 수정일 2023.03.27
  • 병원 검사 매뉴얼(영상, 시술)
    * CT(non enhance / enchance)참고 사항*뇌, 내장, 골격 등 인체의 기관 단면을 나누어 촬영하여 병변(종양, 골절 등)의 위치를 밝히고 진단*X선 발생 장치가 있는 원형의 큰 기계에 들어가서 촬영, 인체를 가로로 지른 횡단 영상을 획득*단순 X-..
    리포트 | 11페이지 | 2,500원 | 등록일 2020.07.31
  • 성인간호학실습 협심증 Case Study (간호진단 5개, 간호과정 3개, A+자료)
    effusion or shunt[결론]No interval change of LVEF (59%≤57% by 2D)Compared with prevous echo (2021.11.08.)CAG2021 ... 및 고밀도 지단백 콜레스테롤 저하의 개선은 관상동맥질환의 예방에 있어서 중요한 부분을 차지하기 때문에 치료에 반드시 포함되어야 한다.(2) 관상동맥중재술① 경피적 관상동맥중재술(PCI ... 그러나 PCI(PTCA)를 시행한 환자의 가장 큰 문제는 시술 부위에 오는 재협착이다.② 관상동맥 스텐트삽입술PC회복지연,에너지 교류장애피로, 활동의 지속성 장애심박출량 감소,호흡기제거에
    리포트 | 40페이지 | 3,500원 | 등록일 2023.09.03
  • [A+] 성인내과실습 심근경색 MI 분담주제 보고서 (질병 소개, 검사 및 시술, 전후 간호, 가능한 간호진단 등)
    자세한 확진은 심혈관조영술(CAG)을 시행해야 한다.4) 치료급성 심근경색 치료관상동맥 중재술(PCI)관상동맥 우회술(CABG)혈전용해약물치료: urokinase항부정맥① Na+ 통로 ... 경피적관상동맥중재술(PCI, percutaneous coronary intervention)1) 목적 및 적응증PCI의 목적은 막힌 관상동맥을 뚫는 것이다. ... PCI 시술1) 목적 및 적응증2) 방법3) 검사 전·후 간호4) 가능한 간호진단5) 참고문헌Ⅰ.
    리포트 | 6페이지 | 2,500원 | 등록일 2023.12.01
  • 성인간호학 Hi-PBL CABG 후 간호(진단 8개, 수행 8개)
    66회 /min, R:16회/min, BP:117/62mmHg-ECG 결과는 Nonspecific ST-T change-1월 8일 unstable angina로 평가 받음-1월 9일 CAG ... 서울시 송파구: 현문사8PCI 장점Lewis, Dirksen 외. 2019년. 성인간호학(상). 서울시 송파구: 현문사9PCI 합병증Lewis, Dirksen 외. 2019년. ... 박준교, 나상훈 외급성 심정지 후 소생환자에서 빠른 PCI는 생존율을 향상시킨다.
    리포트 | 31페이지 | 3,000원 | 등록일 2022.09.17
  • 심근경색 케이스
    management 중임⑥ 대상자 PCICAG 위해 Rt femoral sheth 부위 천자하였으며 천자부위 출혈이 멈춰지지 않고 있음⑦ v/s check나 BST검사 등 다 ... 체위 : semi-Fowler’s position, 심호흡 격려- 혈전용해용법- PTCA(percutaneous trans-luminal coronary angioplasty) & PCI ... 시행결과 Ⅰ,Ⅱ aVf ST Depression 보임② nasal cannula로 O2 2ℓ apply중③ 왼쪽 가슴 통중 호소함 NRS 4점④ 내원 7일 전 흉통 호소함⑤Post PCI
    리포트 | 17페이지 | 1,500원 | 등록일 2020.06.13 | 수정일 2020.06.21
  • 관상동맥질환, 협심증, 심근경색, 관상동맥조영술, CAG
    관상동맥조영술 간호(Coronary angiography, CAG nursing)검사 전1) 조영제 알레르기 확인-조영제를 사용하는 검사로 알레르기 과거력 확인이 필수, 조영제 뿐만 ... 관상동맥조영술(Coronary angiography, CAG or Cath)관상동맥이란 심장근육에 혈액을 공급하는 혈관인데 동맥경화나 혈관수축으로 이러한 혈관이 좁아지는 병이 협심증혈관이 ... 소변이 나온다면 이뇨제 처방 및 I/O 확인이 필요할 수 있음-특히 심박출량이 낮은 환자라면 더더욱 주의가 필요함-흉통이 없더라도 시술을 한 경우 심근경색 확인을 위해 Post PCI
    리포트 | 8페이지 | 3,000원 | 등록일 2024.06.16
  • 심근경색(MI) case study(진단 4개, 중재 2개)
    (dRCA)- CAG 후 RCA 병변을 확인하고 폐색되어 있는 혈관을 넓혀 주기 위해 PCI 방법 중 하나인 스텐트 삽입술과 풍선 성형술을 시행- 압축된 그물 모양의 스텐트를 삽입하여 ... .-> RCA(아래벽 심근 경색, 오른쪽 관상 동맥에 병변), inferior infarction 관찰- 심초음파 결과 : 표 아래 사진 참고11/12CAG- 관상동맥의 해부학 구조를 ... 이 밖에도 풍선 성형술은 카테터와 함께 혈관에 바람 빠진 풍선을 삽입하고 좁아지거나 막힌 부분에 다다르면 풍선을 부풀려서 설치하여 좁아지거나 막힌 부분을 넓히게 됨.MI s/p PCI
    리포트 | 13페이지 | 3,500원 | 등록일 2023.02.07
  • 협심증 케이스스터디
    위해 자정부터 금식대상자 교육CAG 검사를 잘 받을 수 있도록 함.5. ... 자고 일어나거나 새벽에 특히 통증이 있고 음주 후에는 더 아프다고 하심. 2년 전 CAG 시행하였으나 Stent 삽입여부는 모르심. ... 전신혈관 확장을 통한 전신혈관저항의 감소, 심근 수축력 감소, 관상동맥 혈관 확장- amlodipone, nifedipone, diltiazem, nicardipine② 관상동맥중재술(PCI
    리포트 | 12페이지 | 1,000원 | 등록일 2023.02.16
  • 불안정 협심증 CASE STUDY
    실시.- Rt.radial artery를 통한 PCI 실시.- 시술 중 항응고제인 Heparin 사용.진단 #1침습적 시술과 관련된 출혈위험성계획목표단기목표: 1시간 이내에 출혈을 ... 간호과정12간호과정(1)13간호과정(2)14간호과정(3)16참고문헌 18【목 차】1) 간호과정 적용실습부서: CAG(1) 사례질환에 대한 문헌고찰( 5페이지 이내로 요약 정리)정의심장은 ... )시술경력: □ 무 ■ 유 시술이유 및 횟수 2018 (Stent) / 2019 (CAG)수혈경력: □ 무 □ 유 수혈이유 및 횟수알 러 지: ■ 무 □ 유 ( □ 약제/조영제 □
    리포트 | 19페이지 | 2,500원 | 등록일 2023.01.17
  • 혈액종양내과 심근경색 케이스스터디(간호진단 2개/심근의 손상과 관련된 심장조직 관류 감소의 위험, 혈액 응고수치 저하와 관련된 출혈의 위험)
    이 검사는 또한 대동맥 벽의 층 내 파열인 대동맥 박리를 탐지한다.- CAG(관상동맥 조영술) & PCI(경피적 관상동맥 중재술)허혈성 심장질환의 진단에 가장 중요한 검사로 관상동맥의 ... 모르는 용어, 검사, 약물 파악① 용어STEMI(ST분절 상승 심근경색)LV failure(좌심실부전)PCI(경피적관상동맥중재술)Hepatitis(간염)EOD(격일로)anorexia ... PCI후 흉통 없고, EKG 검사 상 ST분절 상승 보이고, 90-110/60-75mmHg, PR: 88-115bpm, RR: 22-24/min, BT: 37.2℃로 확인됨.
    리포트 | 9페이지 | 3,000원 | 등록일 2023.05.13
  • STEMI 간호과정 3가지 (심장조직 관류 감소 위험성, 낙상 위험성, 비효과적 건강관리)
    이후 즉시 CAG 후, PCI 시행하였고, NRS 0점을 확인함.과거력: 10년 전 당뇨를 진단받았으나 따로 복용 중인 약물 없음.21/05/09? ... PCI 결과: dLAD, pLAD-Successful PCI with stent implantation? 부착물: A-line, Foley Catheter? ... CAG 결과: LAD - proximal: total occlusion, distal: diffuse stenosis up to 90%LCX - distal: segmental stenosis
    리포트 | 1페이지 | 3,000원 | 등록일 2022.02.22
AI 챗봇
2024년 09월 03일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:51 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대