• 통큰쿠폰이벤트-통합
  • 통합검색(482)
  • 리포트(457)
  • 시험자료(21)
  • 논문(2)
  • 방송통신대(2)

"Encoder와 Decoder결과" 검색결과 201-220 / 482건

  • '최정화 교수의 통역, 번역 노하우' 독후감
    그러기에 이해한 결과인 ‘의미’를 전하는 ‘해석이론’의 입장에서 보면, 일부 학자들이 쓰고 있는 encode와 decode라는 말은 적절치 못하다.
    리포트 | 4페이지 | 1,500원 | 등록일 2016.02.03 | 수정일 2016.10.21
  • 디코더 인코더 설계
    디코더 (Decoder) 2)with~ select 문4 장 . 설계 ( 실험 ) 결과 2. ... 설계 ( 실험 ) 결과 2. 인코더 (Encoder) 2) when-else 문 - Test bench waveform 입력 값에 따른 결과 값을 확인한다 . ... 토의 이번 설계는 VHDL 을 이용하여 decoder 와 encoder 을 설계하는 실험이었다 .
    리포트 | 26페이지 | 2,000원 | 등록일 2010.09.09
  • 통신실험 예비 8
    Reconnect the PCM Encoder's clock signal cable to OUTPUT B of the Clock Generator.12. ... rate을 정확히 2f _{eqalign{m#}}로 한다면 실제 이상적인 필터를 만들지 못하기 때문에 Aliasing Distortion이 생기지 않더라도 복제된 인접신호도 Pass되어 결과적으로 ... Increase the GAIN until the signal at the PCM Decoder's AUDIO OUTPUT jus 된다.
    리포트 | 5페이지 | 1,000원 | 등록일 2014.12.05 | 수정일 2014.12.19
  • 아주대 논리회로실험 실험5 결과보고서
    >[1] 실험 의의인코딩(Encoding)과 디코딩(Decoding)의 동작 원리를 이해하고 실험을 통해 어떻게 동작하는지 알아본다. 2진수나 10진수와 같은 수 체계 사이의 변환을 ... 처음에 결선을 한 후에 제대로 동작이 되지 않아서 무슨 문제인지 헤매다가 LED 문제라는 것을 알고 교체 후에 제대로 된 결과를 얻을 수 있었다.② BCD to Decimal Decoder74HC42 ... 결선 자체가 복잡해서 천천히 확인해 가면서 회로를 구성했더니 한번에 좋은 결과값을 얻을 수 있었다.④ 8X3 Priority Encoder인코더의 특성이 한 개의 입력만을 받는다고
    리포트 | 7페이지 | 1,000원 | 등록일 2012.02.29
  • Matlab을 이용한 cyclic encoder 및 decoder 설계
    endelseSelect3 = input('Encoder 결과를 이용하여 Decoding을 실시하시겠습니까? 1. Yes 2. ... Systematic cyclic linear block code (15,11), (31,26), (63,57)에 대한 Encoding 및 Decoding 결과- Message는 임의로 ... 이용한 임의의 값을 입력으로 주었기 때문에 두 가지 방법의 시뮬레이션 결과 값에는 차이가 발생하고 있습니다.② Decoder(CyclicDecoderTest.mdl)- Encoder를
    리포트 | 13페이지 | 3,000원 | 등록일 2010.06.03
  • 논리회로실험 15주차 결과보고서
    Encoder Block인코더는 최대2 ^{n}개의 입력 항에서 최대 n개의 출력 항을 만든다. ... 실 험 목 적- Counter, Decoder, 7-Segment - LED driver 등 그동안 실험에서 배운 블록을 이용하여 회로 블록을 설계하여 본다.- 기본적인 디지털 로직 ... FPGA에서 Clock divider 없이 프로그래밍 했을 경우 눈으로 변화를 감지 못할 정도로 빠르게 움직여 마치 모든 7-segment-LED가 모두 켜져 있는 결과가 나오므로
    리포트 | 5페이지 | 1,000원 | 등록일 2014.01.05 | 수정일 2014.09.30
  • 전전컴설계실험2-7주차결과
    -7주차 Post Lab#5-Combinational-Logic-Design(Decoder, Encoder, Mux, BCD to Excess 3)학과전자전기컴퓨터공학부학번2009440138이름정필웅담당교수문용삼 ... (Result)-측정 결과의 도식적 표현-측정 결과의 설명4.토론(Discussion)-실험의 가설과 일치 여부-실험 측정 결과의 해석 및5.결론(Conclusion)6.참고문헌(References ... -디코더(Decoder)해독기로서 임의의 입력번호에 대응하는 출력만을 활성화시킨다.N비트2진 입력신호를M개(2N개)의 출력신호로 변환시키는 동작을100001 00***********
    리포트 | 20페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • xilinx를 이용한 디코더(Decoder)와 인코더(Encoder)설계
    6주차 과제디코더(Decoder)와 인코더(Encoder)설계1. ... 설계 결과4. 설계 결과4. 설계 결과4. 설계 결과4. ... 설계 결과4. 설계 결과4. 설계 결과4. 설계 결과4.
    리포트 | 19페이지 | 1,500원 | 등록일 2010.06.24
  • 통신실험 결과6
    실험 과정에 따른 결과2. 기기를 조정한다.3. ... 실험 과정에 따른 결과1. Setting3. 다음 책의 그림 3.15와 같이 세팅한다.4. ... PCM's resistance to noise and distortion is due to the fact that the decoder need only detect the presence
    리포트 | 10페이지 | 2,000원 | 등록일 2012.12.23 | 수정일 2014.01.01
  • 아주대논리회로실험 5장.디코더인코더 예비(기본구성+빵판+예상결과)
    Decoder & Encoder1. 목 적카운터를 이용해 디코딩(decoding)과 인코딩(encoding)의 코드변환 동작에 관해 실험하고 그 동작원리를 이해한다.2. ... 첫 번째 J, 즉 B'를 5V나 0V로 놓고 A를 구하면 결국 B를 구할수 있고 그에 따른 B'를 확인하므로써 결과를 맞게 예상했는지 알 수 있다. ... 예측되는 결과1) 2단 2진 카운터회로그림A는 JK 플립플롭의 입력 J,K에 둘다 5V가 들어가므로 쉽게 예상할 수 있고 A'는 A의 반대이므로 역시 쉽게 예상 가능하다.
    리포트 | 10페이지 | 1,500원 | 등록일 2011.12.21
  • 디지털실험및설계 예비6(복호기 및 부호기)
    실험 이론(1) 복호기(Decoder)디코더는 일반적으로 n-to-2n 디코더는 n개의 입력선과 2n개의 출력 신호를 갖는 다중 출력 조합논리 네트워크이다. ... 그림1.1)는 진리표를 나타낸다.그림1) 2-to-4 line 복호기입력출력BAD3D2D1D*************100100111000그림1.1) 진리표(2) 부호기(Encoder ... 결과 및 토의①1) 그림 2(a) BCD-to-decimal 복호기의 출력이 (b) 진리표와 같음을 확인하 라.D0= ?3 ?2 ?1 ?0 (0000)D1= ?3 ?2 ?
    리포트 | 10페이지 | 1,500원 | 등록일 2015.12.05
  • [Lab#4]7-Segment LED Display 실습
    .#4]74LS147 10-line to 4-line Encoder, 7-Segment LED Display, 74LS47 BCD to 7-segment LED Display Decoder-driver ... (C) TTL 74LS147 IC: 10-line to 4-line Priority Encoder1)1~9까지 9개의 데이터 라인을 4개의 8421BCD라인으로 Encode 한다.2 ... 또 두 개의 수가 동시에 입력되면 결과는 어떻게 동작하는가 ?-출력이 모두 0000인 경우 입력은 전부 1 이다. IC회로가 Active-Low이기 때문이다.
    리포트 | 17페이지 | 1,000원 | 등록일 2011.06.02
  • 전자전기컴퓨터설계실험2(전전설2)5주차예비
    PreliminaryReport주 제: Lab#05 Combinational_Logic_Design_Ⅱ@ Decoder, Encoder and Mux지도교수 : 신 창 환 교수님실험조교 ... 그 결과값인 excess-3 코드에 각각 인버터를 사용하면 보수가 될 수 있음은 convertor를 설계하는 이유가 될 수 있음을 알 수 있는 실험이었다.Ⅵ. ... 결과값 중 하나만 1의 값을 가지며 나머지는 0을 갖는다.예를 들어 3x8 디코더에서의 경우 3개의 입력과 8개의 출력을 갖는다.다음과 같은 진리표를 통해 8개의 And gate 와
    리포트 | 13페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 통신실험예비(Unit8)
    이는 PCM encoder가 오직 유한개의 code word들을 생산하기 때문에 일어난다. ... Flat-top sampling은 메시지 신호의 고주파수 성분이 감쇠되는 결과로 나타난다. ... PCM 방식에서 aperture 왜곡은 decoder의 출력신호의 주파수 spectrum에서 발견된다. Decoder의 D/A 변환기의 출력은 계단파형을 띤다.
    리포트 | 12페이지 | 1,500원 | 등록일 2013.11.12
  • 심리학개론-6 언어
    )- 소리를 신호로 변화시킴, 부호풀기(decode)- 신호를 풀어 의미를 알아냄즉, 의사소통은 말을 언어신호로 만들고 푸는 과정임, 그러나, 소리만으론 의도파악 못함③ 공통 기반( ... of relation): 진행중인 대화에 적절한 기여할 것└ 예절의 원리(maxim of manner): 언어 사용시 모호함, 중의성 수다스러움 피할 것② 정보전달이론: 부호화(encode ... 구절구조에서 가장 적은 마디를 만들어 내는 방식└ 늦은종결(late closure): 새로 입력되는 단어나 구절을 현재 처리중인 구나 절에 부착함3) 의미 처리 과정- 단어재인+통사처리 결과
    시험자료 | 3페이지 | 1,500원 | 등록일 2016.05.17
  • 아주대_논리회로실험_예비7_복호기와 부호기
    복호기와 부호기(Decoder & Encoder)1. ... 목 적카운터를 이용해 디코딩(decoding)과 인코딩(encoding)의 코드 변환 동작에 관해 실험하고 그 동작 원리를 이해한다.2. ... Excess-3 Code >위의 결과를 통하여 표1값이 Excess-3 Code 결과인 표2랑 출력값이 같은 것을 보아 차이가 없음을 알 수 있다.
    리포트 | 3페이지 | 1,000원 | 등록일 2010.04.04
  • 통신실험 결과보고서(Unit8)
    PCM Encoder(9444)?PCM Decoder(9445)?Oscilloscope(-)4. ... 실험결과1) Figure 2-7과 같이 modules를 배열하고, 기기의 모든 controls를 반시계방향으로 최소화 시킨 후 장비의a) Clipped decoder outputFigure ... Explain.위의 실험의 결과는 헤드폰으로 출력되는 음성이 실험 결과인데 헤드폰이 없는 관계로 하지 않았다.
    리포트 | 10페이지 | 2,500원 | 등록일 2013.12.19
  • 아주대학교 논리회로실험 실험8 예비보고서
    실험목적① 카운터의 동작원리와 특성을 이해② 2진 시스템에서의 숫자표시와 2진 카운터에 대해 이해③ 카운터를 이용해 디코딩(decoding)과 인코딩(encoding)의 코드변환 동작에 ... Counter로 동작시킬 경우 출력 Q0를 Clock 1(=CLKB)과 연결하면 "0000"에서"1001" 까지 Count 합니다.SN7447A (BCD-to-Seven-Segment Decoders ... 맞추어 AND 게이트를 구성☞ 각각의 AND 게이트는 결과에 맞추어 모두 다르게 구성3.
    리포트 | 9페이지 | 1,000원 | 등록일 2014.02.28 | 수정일 2014.03.02
  • post5 펄스 부호 변조(PCM)
    CODEC( Encoder+ Decoder)Encoder: AX(아날로그 메시지 신호)를 DX(PCM신호)로 부호화Decoder: DR(수신된 PCM신호)를 AR(아날로그 메시지 신호 ... 코드는 메시지 신호의 새 진폭 값을 ENCOD ING한 것이기 때문이다.3. 결과 요약1. ... 〔결과보고서5〕5.
    리포트 | 7페이지 | 1,000원 | 등록일 2012.01.26
  • 커뮤니케이션의 의의, 과정, 구성요소
    물론 이 때의 선택은 다른 것을 보완하는 혼합적인 것일 수도 있다.5) 해독(decoding)해독은 접수된 메시지가 아이디어, 사고 및 감정으로 해석되는 과정을 말한다. ... 그렇지 못할 때 의사소통의 곡해가 일어나고 결과적으로 원만한 대인관계가 형성 ? ... 예컨대 민감한 사람은 커뮤니케이션 과정을 수신자의 시각에서 보게 될 것이고, 감각이 둔한 사람은 1차적으로 자신의 욕구에 관심을 가지게 될 것이다.2) 암호화(encoding)암호화는
    리포트 | 8페이지 | 2,000원 | 등록일 2014.11.29
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 17일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:43 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대