• 통큰쿠폰이벤트-통합
  • 통합검색(482)
  • 리포트(457)
  • 시험자료(21)
  • 논문(2)
  • 방송통신대(2)

"Encoder와 Decoder결과" 검색결과 141-160 / 482건

  • 이동통신 공학인코더디코더 메틀랩
    그 후 코드워드의 1에서 N-K는 LFSR값이 들어가면 된다.결과값을 보면, N=15, K=11로, (15,11)에서 메시지를 [11110000001]로 넣어줄 때, 코드워드는 [* ... 이동통신 공학14.10.30전자공학부201011404나지원[(15,11) Encoder]CyclicEncode.mfunction U = CyclicEncoder(M, P)M = [1 ... ************01]로 인코딩되어 나옴을 확인할 수 있다.[(15,11) Decoder]CyclicDecode.mfunction mdtable(H);Syndrom = rem(
    리포트 | 11페이지 | 2,000원 | 등록일 2016.04.20
  • 인코더 및 인코더
    가상실험 결과▶ 4 x 2 Encoder▶ 8 x 3 Encoder▶ 2x4 Decoder (1)▶ 2x4 Decoder (2)2x4 Decoder▶ 2x4 Decoder and 4x2 ... 회로구성도회로 종류회로도4 x 2 Encoder8 x 3 Encoder2x4 Decoder(1)회로 종류회로도2x4 Decoder(2)2x4 Decoder and4x2 Encoder ... 실험 목적▶ Encoder 에 대한 특성이해▶ Decoder 에 대한 특성이해▶ Encoder 에 대한 동작원리 이해▶ Decoder 에 대한 동작원리 이해▶ BCD 7-Segment
    리포트 | 16페이지 | 1,500원 | 등록일 2013.10.15
  • 서강대학교 디지털논리회로실험 3주차결과
    실험 제목Decoders and Encoders2. ... Decoders and Encoders담당교수 : 김 영 록제 출 일 : 2013. 10. 01.(화)학 과 : 전자공학과성 명 :1. ... Priority encoding이 되는 것은 소자가 다음과 같은 회로구조를 가졌기 때문이다.▲ 74LS148 회로도DIP_SW를 조작하여 실험한 결과 상위 bit에 따라 encoding이
    리포트 | 8페이지 | 2,000원 | 등록일 2014.01.02
  • [대충] 결과 인코더, 디코더와 멀티플렉서, 디멀티플렉서
    Encoder의 입력에 Decoder의 출력을 연결하고 Encoder의 출력값을 확인하라.입력출력ABCY7Y6Y5Y4Y3Y2Y1Y0A2A1A0LLLLLLLLLLHLLLLLHLLLLLLHLLLHLHLLLLLLHLLLHLLHHLLLLHLLLLHHHLLLLLHLLLLHLLHLHLLHLLLLLHLHHHLLHLLLLLLHHLHHHHLLLLLLLHHH현재 ... 디지털공학실험(결과보고서)실험 : 인코더/디코더와멀티플렉서/디멀티플렉서◆실험가. ... 디코더와 인코더는 서로 상반된 개념으로 A, B, C에서의 입력이 A2, A1, A0 결과로 그대로 나왔습니다.
    리포트 | 2페이지 | 1,000원 | 등록일 2015.01.17
  • [소감문] '광고, 창의성, 창의적 인재' 강의를 듣고
    첫 번째는 크리에이터의 인코딩(encoding)이고, 두 번째는 수용자의 디코딩(decoding)이다. ... 잘 만든 광고는 인코딩이 잘 된 광고이고, 결과적으로 수용자들이 이해하기 쉬운 광고이다. 인코딩이 잘못 된 광고의 예시로는 을 들 수 있다.
    리포트 | 7페이지 | 2,500원 | 등록일 2015.05.16 | 수정일 2024.05.19
  • 5. 인코더 및 인코더 - 결과레포트
    결론 및 검토이번실험은 Encoder와 Decoder의 동작특성을 이해하고 응용해보는 실험이었다.모든실험에서 결과값은 이론값과 동일하게 나왔으며 큰 오차도 없다.하지만 7442 실험을 ... 회로구성도회로 종류회로 사진4 x 2 Encoder8 x 3 Encoder2x4 Decoder회로 종류회로 사진2x4 Decoder and4x2 Encoder 의 회로도74LS4274LS47 ... 실험 목적▶ Encoder 에 대한 특성이해▶ Decoder 에 대한 특성이해▶ Encoder 에 대한 동작원리 이해▶ Decoder 에 대한 동작원리 이해▶ BCD 7-Segment
    리포트 | 17페이지 | 1,500원 | 등록일 2013.10.16
  • [컴퓨터공학기초설계및실험2 보고서] Multiplexer design
    아래의 4-to-2 encoder를 2-to-4 decoder와 비교해 보면 입력은 출력으로, 출력은 입력으로 바뀌었음을 알 수 있다. ... 아래에는 1-to-4 디멀티플렉서 회로도와 진리표를 나타내었다.입 력출 력s0s1D0D1D2D300l000010l001000l011000l복호기와 부호기(decoder와 encoder ... 일반적으로 2진수를 10진수로 바꾸는 것을 복호화(decoding)라고 한다.
    리포트 | 10페이지 | 1,500원 | 등록일 2015.04.12
  • Lab#05 Combinational Logic Design 2
    Post-Lab ReportLab#05 Combinational Logic Design 2@ Decoder, Encoder and Mux담당 교수강 상 혁담당 조교실 험 일실 험 조학 ... Prelab1 (3:8 Decoder)Verilog codeTest BenchTiming SimulationFuctional Simulation3:8 Decoder는 Case 구문을 ... Methods1) 3:8 Decoder Logic design가) 프로젝트를 생성한다.
    리포트 | 26페이지 | 1,500원 | 등록일 2016.09.11
  • 인코더 디코더와 7 segment display
    실험목표- 인코더(Encoder)와 디코더(Decoder)의 기능을 이해하고 구성할 수 있다.- BCD(Binary-Code Decimal) 인코더와 디코더 기능을 이해하고 구성할 ... 관련이론- 인코더인코더(encoder)는 디코더의 반대되는 기능(입력과 출력이 바뀐 기능)을 수행하는 회로이다. ... 간략화한 논리회로를 구성하고 설계회로 출력이 3-Bit 디코더의 진리표 결과와 동일함을 확인한다.
    리포트 | 12페이지 | 1,000원 | 등록일 2016.03.11
  • [컴퓨터공학기초설계및실험2 보고서] Traffic Light Controller
    값이 바뀌는 이상한 결과가 나타났었다. ... : binary number system으로 encoding한다.- One-hot encoding : 하나의 state를 표현하는데 하나의 flipflop을 사용한다.Coding ... 확인하려고 state를 output으로 줬었는데, 그 부분을 다시 빼고 Ta와 Tb를 보며 La와 Lb를 확인하면서 결과를 확인하였다. testbench에서 clock에 상관없이 결과
    리포트 | 11페이지 | 1,500원 | 등록일 2015.04.12
  • 논리회로실험 7주차 결과보고서
    결 과 보 고 서7주차Decoder / Encoder /7-segment LED분반 : 0성명 : 000학번 : 2010000실험일: 0000.00.001. ... 4x2 encoder의 Modelsim을 이용한 결과 파형 확인inputoutputi3i2i1i0d1d000*************010100011? ... 2x4 decoder의 Modelsim을 이용한 결과 파형 확인inputoutputi1i0d3d2d1d0000001010010100100111000?
    리포트 | 8페이지 | 1,000원 | 등록일 2014.01.05 | 수정일 2014.09.30
  • 아주대학교 논리회로실험 실험5 예비보고서
    실험목적디코딩(decoding)과 인코딩(encoding)의 코드변환 동작에 관해 실험하고 그 동작원리를 이해한다.2. ... (1-of-10)74HC1488-3 LinePriorityEncoder74HC148 등가회로4.실험 과정 및 예상결과InputOutputSW2SW1D1D2D3D************ ... 소자의 출력 코드가 입력 코드보다 적은 비트를 가질 경우 그 소자를 인코더(encoder)라고 부른다.
    리포트 | 10페이지 | 1,000원 | 등록일 2014.02.28 | 수정일 2014.03.02
  • 통신실험 결과보고서(Unit10-ex1)
    Delta modulating encoding of a message signal.그림 5-5는 DM encoder과 decoder의 block 다이어그램이 이 exercise에서 ... 적분된 신호는 메시지 신호를 보완하기에 충분하게 빠르지 못하고 기울기 overload distortion 결과가 생긴다. ... Delta Encoder와 Delta Decoder의 SLOPE CONTROL은 같은 setting이 되어야 한다.8) Lowpass Audio Filter의 AUDIO OUTPUT을
    리포트 | 17페이지 | 2,000원 | 등록일 2013.12.19
  • [예비]실험5. Decoder & Encoder
    74HC42(BCD to decimal decoder)? 74HC148(8-to-3 line Octal Priority Encoder)④실험과정 및 예상 결과? ... Decoder & Encoder?실험목적1. 디코딩(Decoding)과 인코팅(Encoding)의 코드 변환 동작에 관해 실험하고 동작원리를 이해한다.?실험이론? ... 인코딩(Encoding)- 인코더는2 ^{n}개의 입력선 중에서 하나가 선택되면 그에 따른 n개의 출력 선으로 2진정보가 출력되는 회로이다.
    리포트 | 5페이지 | 1,500원 | 등록일 2013.09.28
  • 인코더와 디코더 회로 - 결과
    기초회로실험- 결과보고서 -- 8조 -정보통신공학부인코더와 디코더 회로- 실험의 목적 -(1) Encoder와 Decoder의 기능을 익힌다.(2) 부호변환 회로의 설계방법을 익힌다 ... Segment의 진리표를 확인한다.- 실험 회로 -2개의 입력을 받고, 7-Segment 숫자표시기 6개의 입력을 받고,4개의 출력을 받는 디코더 4개의 출력을 받는 인코더- 실험 결과 ... _{1}, D _{2}, D _{3}, D _{4}, D _{5}의 6개의 입력에 따라,A, B, C, D의 4개의 출력으로 나타내어 위처럼 진리표가 작성되는 인코더회로이다.- 결과분석
    리포트 | 4페이지 | 1,000원 | 등록일 2015.10.18
  • VHDL을 이용한 Mux, Demux, incoder, decoder, FND 설계 및 실습
    또한 Encoder는 여러 기호나 알파벳 문자를 인코딩하기도 한다. ... 시뮬레이션 결과A, B, Y를 그룹으로 묶어서 파형을 만든후 sel을 Random Value를 주어서 시뮬레이션을 돌린다. sel이 바뀌는 부분을 확인해보면 sel이 0에서는 A를 ... Encoder는 디코더의 기능을 역으로 수행하는 조합논리이다. 10진수 또는 8진수 등의 숫자를 의미하는 액티브 상태의 입력이 인가되면 이를 BCD, 2진 코드화된 출력으로 변환한다
    리포트 | 16페이지 | 1,000원 | 등록일 2014.05.31 | 수정일 2014.06.02
  • 전자공학과 디지털 회로 및 실험설계 텀프로젝트 간이 전압계입니다.
    실험결과1. 프로젝트 주제10V 이하의 전압을 측정 할 수 있는 간이 전압계2. ... OP amp▶ Encoder (74LS147)▶ Regulator (Lm7805)5. ... 사용부품 및 공구▶ 만능기판▶ 납땜인두▶ 와이어스트리퍼▶ 프로브▶ AA Size 건전지▶ 스위치▶ 7-segment FND▶ NOT Gate▶ Decoder IC (74LS47)▶
    리포트 | 9페이지 | 2,000원 | 등록일 2016.04.06 | 수정일 2017.05.23
  • 통신실험예비(Unit10)
    그림 5-7 (a)는 메시지 신호가 큰 양자화 구간에서 encode 됨을 보여준다. 필터링을 거치면 복원된 신호의 결과는 평평하다. 메시지 신호의 변화가 반영되지 않는다. ... 펄스 신호가 적분되어지고 있기 때문에, 상승 또는 하강 기울기를 가진 결과로 나타난다. ... 이 신호는 feedback 루프를 거쳐 적분기를 지나 decoder로 전송되는 것이다. 피드백 루프와 decoder 작업 둘 다 같은 기능을 한다.(메시지 신호의 복원이다.)
    리포트 | 16페이지 | 1,500원 | 등록일 2013.11.12
  • 아주대 논리회로실험 실험예비7 복호기와 부호기 (Decoder & Encoder)
    복호기와 부호기 (Decoder & Encoder) 예비보고서● 이론(1) 복호화(Decoding)2진수를 10진수로 바꿔주는 것으로 카운트 상태를 AND gate를 이용하여 디코딩한다 ... 결과적으로 이회로를 통해 101 _{(2)} ,``110 _{(2)}를 출력해 낼 수 있다.(2) 그림 9에서 4 또는 5, 혹은 4, 5 모두를 디코딩하기 위한 그림을 그려라.위 ... 이 결과를 표 1에 기록한다.5) 핀 1에 A’, 핀 2에 B’를 입력한 NAND gate 7420의 핀 6번 출력파형6) 핀 1에 A, 핀 2에 B’를 입력한 NAND gate 7420의
    리포트 | 12페이지 | 1,500원 | 등록일 2014.10.04 | 수정일 2017.08.03
  • [텀프로젝트]디지털 논리회로 설계 - 2자리수 16진수 가감산기
    NOT Register 0 - Add 1 - Sub X-OR Full Adder BCD Decode r Full Adder Full Adder Full Adder BCD Decoder ... 2013.12.3 디지털회로설계 결과보고서 20080XXXXX XXX 20090XXXXX XXX 20090XXXXX XXX 20110XXXXX XXX목차 주제 설명 블록도 (Block ... AND Gate 74LS32 Quad 2-Input OR Gate Equipment and P arts list결론 및 향후과제 한자리 수 더하기 한자리 수 가산기와 감산기를 완성 결과값이
    리포트 | 11페이지 | 2,000원 | 등록일 2013.12.13 | 수정일 2014.12.23
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 17일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:35 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대