• 통큰쿠폰이벤트-통합
  • 통합검색(1,563)
  • 리포트(1,422)
  • 자기소개서(88)
  • 방송통신대(17)
  • 논문(16)
  • 시험자료(12)
  • 이력서(4)
  • ppt테마(3)
  • 서식(1)

"LCD모듈" 검색결과 201-220 / 1,563건

  • 외부 메모리 인터페이스 결과보고서
    이 때 실습 14에서 사용하는 MCU모듈인 G와 C 포트로 바꿔주어야 했다. 그 다음 출력 소스를 넣은 Testlcd.c를 넣어주었다. ... 두 번째 실습 역시 첫 번째 실습과 흡사한 방식으로 진행하였는데, 실습 15에서 사용하는 MCU 모듈 포트는 B와 D였기 때문에 Lcdconf.h를 변경해 주어야했다. ... 이번 실험에서 사용한 TEXT LCDLCD화면에 정해진 형태의 문자를 16문자*2라인만큼 표시할 수 있었다.
    리포트 | 1페이지 | 1,000원 | 등록일 2017.04.02
  • LCD공정
    TFT Module 완성LCD 공정 (Module Process)6. Aging Testing7. Packing{nameOfApplication=Show} ... Polarizer Lamination - TFT-CF 결합 부분, 반대 부분LCD 공정 (Module Process)1. ... PCB Bonding - 탈포 : 고온, 고압을 거쳐 밀착성을 높임LCD 공정 (Module Process)4. Backlight Mounting5.
    리포트 | 18페이지 | 2,000원 | 등록일 2010.09.07
  • 액정, 컬리필터, 모듈공정
    편광판 부착 후 LCD 패널은 최종적으로 전기 광학적 평가를 통하여 양품만 선별되어 module 조립 공정에 투입된다.11.3 Module 공정그림 11.12는 TFT-LCD 모듈 ... 대형의 모듈은 TCP(tape carrier package)구조의 LDI를 적요한 LCD module을 이용한다. 35mm 혹은 48mm정도의 flexible film 위에 LDI ... LCD에서는 backlight lamp가 위치한 반대쪽 LGP두께를 최소화한 tapered LGP를 사용하고 TCP를 bent type으로 제작하여 module의 유효화면 비율 (module
    리포트 | 18페이지 | 1,500원 | 등록일 2012.05.28
  • 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습8 [결과레포트]
    LCD 모듈이 각 제어 코드를 실행하는데 설정된 시간이 필요하므로 FPGA가 BF를 읽어 약 50ms가 소요되므로 이 시간 이상을 대기한다.Function set 명령(001xxx01 ... 이 다음에 송수신하는 데이터는 DD RAM의 데이터이다.Busy flag & address Reading : LCD 모듈이 내부 동작중임을 나타내는 Busy Flag(BF) 및 어드레스 ... Purpose of this LabText LCD에 대해 이해하고 학습한다.Text LCD에 문자를 표시할 수 있는 회로를 설계한다.Text LCD에 자신의 학번과 이름을 표시할 수
    리포트 | 22페이지 | 1,000원 | 등록일 2017.10.19
  • 국내에서 판매되고 있는 의류, 자동차, 전자제품 중 한 제품을 선정하여, 해당 제품의 시장세분화, 표적시장 선정, 포지셔닝을 각 단계별로 설명하시오
    더 얇은 것이 중요한 이유는 전체 디스플레이 모듈이 더 얇아지게 되어 여분의 공간을 사용해 하드웨어 자체를 더 얇게 만들거나 좀 더 많은 배터리 용량을 추가할 수 있기 때문이다.게다가 ... 기존 백라이트에 일반적으로 사용되어 오던 AM LCD(active-matrix LCD, 능동형 LCD)보다 빠른 반응 속도를 지녔으며, 더 얇고 가볍지만 색상 표현력이나 대비에 있어서는 ... , 대면적 TV 시장은 중국이 LCD 산업에 물량 공세를 보이며 국내 LCD 시장을 위협하고 있으며, 가성비에서도 중국에 밀리고 있다.
    리포트 | 7페이지 | 2,000원 | 등록일 2019.03.08 | 수정일 2019.03.09
  • 디스플레이 관련 용어 정의 레포트
    인쇄전자는 인쇄기술을 통해 전자 소자 및 부품 혹은 모듈을 만들어 내는 것으로 도전성(Conductive) 또는 기능성 잉크를 기판에 찍어 내는 기술입니다. ... 이처럼 기존의 LCD로는 제대로 된 투명 디스플레이 구현이 어렵다. LCD로 플렉시블은 더욱 어렵습니다. ... 기술은 LCD 대비 색재현율, 명암비, 시야각 등의 측면에서 우수한 화질 특성을 발휘할 수 있습니다.
    리포트 | 2페이지 | 1,000원 | 등록일 2018.05.30
  • 방통대 인터넷과정보사회 만점자료 표절전혀없는 순수작성한 만점자료입니다.
    주변에서 사용가능한 컴퓨팅 기능이 있는 장치(내비게이션, 키오스크, 디지털카메라 등) 중 세 가지를 선택하고 각 제품의 입력, 출력, 저장, 기억 기능이 무엇이며 이를 담당하는 모듈이 ... 닌텐도 Wii」의 입력장치는 무선 리모컨과 본체에 연결된 센서바에 의해 버턴클릭, 모션 등으로 게임 플레이를 할수 있다.- 출력은 「닌텐도 Wii」의 경우 별도의 기능을 담당하는 모듈이 ... 화면터치(정전용량방식)출력장치- 8.9인치 LCD (WXGA, 1280*800)- 스테레오 스피커 등기억장치?
    방송통신대 | 8페이지 | 3,000원 | 등록일 2016.08.26 | 수정일 2018.10.25
  • atmega128 스위치를 이용한 경보음,버저,LED작동 및 시간측정
    Flow chartⅢ.기본 구성(1) 부품 및 구성부 품 명수 량비 고ATmega128 module1LED2Resistance 330 Ω2Switch1Buzzer1FND1LCD1Ⅳ. ... 길게(2초 이상) 눌렀을 때 초록색, 빨간색 LED 깜빡거림, 버저on, LCD에 상황표시길게(2초 이상) 눌렸을 때 LCD에 "Emgergency“라고 표시가 되며 초록색, 빨간색 ... 짧게(2초 이내) 눌렀을 때 초록색 LED 깜빡거림, 버저on, LCD에 상황표시(Practice)짧게(2초 이내) 눌렸을 때 LCD에 "Practice“라고 표시가 되며 초록색 LED가
    리포트 | 26페이지 | 2,500원 | 등록일 2017.12.19
  • [Ayeun] 마이크로프로세서응용 8주차 결과보고서 마프(ATmega128,16bit,주기듀티비제어과제코드)
    , 내부 구성도, 레지스터, 동작원리, 출력 주파수 계산 등)#PWM이란 (Pulse width modulation)펄스의 폭을 컨트롤하는 주기 제어방법이다. ... //lcd에 Top값으로 ICR1 출력lcd_puts(lcd);//lcd출력lcd_gotoxy(0,1);//lcd출력좌표sprintf(lcd,"OCR1A:%4d",OCR1A);//lcd에 ... OCR값으로 OCR1A 출력lcd_puts(lcd);//lcd출력}}#프로그램의 순서대로 설명1.
    리포트 | 16페이지 | 1,000원 | 등록일 2018.12.22
  • 서울시립대학교 전자전기컴퓨터설계실험2 제10주 Lab09 Pre
    모듈이 reset되기 위한 50ms 기다린다.Function set 명령을 전송한다.Display On/Off control 명령을 전송한다.Entry mode set 명령을 전송한다.DDRAM ... switch로 사용한다.rs는 Character LCD의 CLCD_RS를 사용하며, rw는 Character LCD의 CLCD_RW를 사용한다. e는 Character LCD의 CLCD_E를 ... Application Design Ⅱ - Text-LCD Control.실험 날짜2016. 11.14학번이름Professor조교IntroduceObjectText LCD의 작동 원리를
    리포트 | 8페이지 | 1,500원 | 등록일 2017.09.04
  • 서울시립대학교 전자전기컴퓨터설계실험2 제11주 Lab10 Post
    임의로 분주한 clock을 다른 always구문 혹은 모듈에서 사용하면 Delay가 생기는 것을 확인하였다. ... 대한 알고리즘이 정상적으로 동작하는 것을 확인할 수 있다.DiscussionDigital WatchPre-Lab의 source code로 실험한 결과, 분주한 clock을 다른 module ... 이는 LCD 상에서 1분이 더해짐과 동일하다.Simulation 결과를 확인하면, calib_hour_button이 1이 되면 시에 해당하는 clk_hour_s에 1이 더해지는 것을
    리포트 | 6페이지 | 1,500원 | 등록일 2017.09.04
  • 취업과 진로 중소기업 조사
    //건강한 인체와 성실한 정신을 가진 인재,자기변화를 주도하는 진취적인 인재,도전과 패기를 갖춘 글로벌 인재주력상품출입통제 근태관리 시스템,지문인식 모듈,지문인식보안토큰,PC지문인식 ... 긍정적 사고와 열절을 가진인재,자기 계발하는 인재,실행력이 있는 인재주력상품ACTUATOR,CLUTCH COIL,CONTROLHEAD,RESISTORS,PTC HEATER,POWER MODULE ... 문제를 해결할 수 있는 능력을 가진 실무 전문가로서 후배의 지도,육성에 노략하는사람주력상품CVD EQuopment,Bluetain,DB21,AKRAFPD Dry Etcher,TFT-LCD
    리포트 | 2페이지 | 1,000원 | 등록일 2018.01.08
  • 외부 메모리 인터페이스 결과보고서
    모듈의 결선 방법으로는 MCU 모듈 포트 C의 PC0~PC7을 TEXT LCD 모듈의 D0~D7에 연결하고, MCU 모듈 포트 G의 PG0은 TEXT LCD 모듈의 RS 신호에, PG1은 ... 모듈의 결선 방법은 MCU 모듈 PD0~7을 TEXT LCD모듈의 D0~7에 연결하고, PB0~2를 TEXT LCD모듈의 RS, RW, E핀에 각각 연결한다. ... 마지막에 6조 분들이 도와주는 과정에서 MCU 모듈의 PE0과 UART모듈의 TX를 연결하고 MCU 모듈의 PE1과 UART모듈의 RX로 전에 연결했던 것과는 반대로 연결한 결과,
    리포트 | 4페이지 | 1,500원 | 등록일 2015.11.09
  • Atmega32 임베디드 프로세서
    카운트 타이머 = 시간과 관련 ( 주기 =1/f) 기준시간 = 클럭으로 입력타이머 / 카운터 0 가장 일반적으로 많이 쓰이는 single 채널 (1:1), 8bit 타이머 / 카운터 모듈TCCR0 ... test ______); lcd_gotoxy (0,1); lcd_puts (_LCD test ____^^); } }1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 ... , 커서표시 0, 깜빡임 X 0000 1001 x09 LCD ON, 커서표시 X, 깜빡임 0 0000 1011 x0A LCD ON, 커서표시 0, 깜빡임 0 40us 기능설정 0 0
    리포트 | 77페이지 | 1,000원 | 등록일 2019.01.28
  • 디젠(주) 자기소개서 작성 성공패턴과 입사시험 기출면접문제
    공부를 하였을 것으로 보이는데 우리 회사의 주 생산제품과 기타 생산제품을 대강 몇가지 군으로 분류하여 설명해 보세요.2) 영상기기의 종류를 아는대로 말해보세요.3) 디젠의 엘시디 모듈은 ... ▷ TFT LCD« 32Bit MCU Base / LCD Control by Graphic▷ Controller« B-AN & P-CAN Communication Control▷ Center ... : TFT LCD - 3.5”(320*240) / 7”(800*480)▷ Speed & Tacho Meter : Analog Gauge7) 디젠(주)의 자동차용 네비게이션의 주요
    자기소개서 | 246페이지 | 9,900원 | 등록일 2017.06.01 | 수정일 2019.06.02
  • <<AVR을 이용한 CLCD(TEXT LCD) 문자출력>>CLCD 문자출력,TEXT LCD,텍스트엘시디,회로도,소스,초기화,쉬프트,시프트,특수문자,정의문,AVR,ATmega128
    목 표 CLCD(Character LCD or Text LCD)는 마이크로 컨트롤러로 아스키문자나 특수문자등을 표시하는데 매우 많이 사용된다. ... 과정이 LCD_cmd 함수의 내용이다. ... ['AVR을 이용한 CLCD(TEXT LCD) 문자출력 + 회로도, 소스코드, 동작원리' 본문 내용 中 발췌]Ⅰ.
    리포트 | 26페이지 | 4,000원 | 등록일 2016.01.06 | 수정일 2017.04.20
  • 서울시립대학교 전자전기컴퓨터설계실험2 제10주 Lab09 Post
    따라서 이 부분을 모듈로 만들어, 한 번만 호출하고 LCD 문자열에 data만 한 개의 always 문으로 넣어준다면 readability를 높이고, reusable한 source ... , LCD clear는 하지 않는다. ... Application Design Ⅱ - Text-LCD Control.실험 날짜2016. 11.14학번이름Professor조교Expected Results[응용] Text LCD
    리포트 | 9페이지 | 1,500원 | 등록일 2017.09.04
  • LCD의 이해
    LCD목차1. LCD란? 2. LCD의 구현 원리 3. LCD Module 전체 구조 4. LCD 동작 5. LCD의 전기 광학적 특성1.LCD 란? ... Module의 단면도Block Matrix그림5 TFT-LCD Module 단면도3)구동회로 Unit2)Backlight1)LCD panel배향막sealantTFT- LCD One ... LCD Module 전체 구조 (TFT-LCD)1) TFT-LCD Panel - Backlight Unit 에서 입사된 백색 평면광을 구동 회로 Unit으로부터 입력된 개개 화소의
    리포트 | 20페이지 | 3,000원 | 등록일 2009.09.26
  • 실험4) 외부 메모리 인터페이스 실습 14, 15
    제공하는 TEXT-LCD 관련 함수 이해- TEXT LCD 제어 프로그램 방법 습득3) 사용 모듈 : MCU 모듈, TEXT-LCD 모듈TEXT LCD 모듈 회로4) 사전지식- AVR ... 외부 메모리 인터페이스 동작 원리 이해 (레지스터 설정)- SRAM 동작 원리 이해- SRAM의 제어 방법 습득3) 사용 모듈 : MCU 모듈, 메모리 모듈, TEXT-LCD 모듈 ... , UART 모듈메모리 모듈의 SRAM부 회로4) 사전지식①외부 메모리를 사용을 위한 제어 레지스터 설정ⅰ외부 메모리 Enable→ MCUCR 레지스터의 SRE 비트를 ‘1’로 세팅ⅱ메모리의
    리포트 | 10페이지 | 1,500원 | 등록일 2015.11.12
  • 다각화 관련 사례를 읽고 경영전략 의사결정
    (액정표시장치) 분야에서 중견업체로써 어느 정도 확고한 위치를 다지고 있는 (주) 경남은 LCD에 필수적으로 들어가는 back light(화면 뒤에서 빛을 밝혀주는 장치) 모듈을 만드는 ... 처음 사업을 실시할 때에는 시장점유율이 34%에 이를 정도로 백라이트 모듈을 만드는 몇 안 되는 기업이었지만, LCD 산업이 각광을 받으면서 여러 업체들이 우후죽순처럼 시장에 뛰어들어 ... 이 방안은 기존 LCD 분야에서 축적한 노하우와 대기업과의 유대관계를 그대로 활용할 수 있다는 장점이 있다.
    리포트 | 6페이지 | 1,500원 | 등록일 2018.02.27
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:28 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대