• 통큰쿠폰이벤트-통합
  • 통합검색(2,889)
  • 리포트(2,529)
  • 시험자료(171)
  • 방송통신대(75)
  • 자기소개서(62)
  • 서식(21)
  • 논문(13)
  • ppt테마(10)
  • 이력서(7)
  • 노하우(1)

"Processing code" 검색결과 201-220 / 2,889건

  • 컴퓨터의이해) 1. (가) 개인용 컴퓨터를 하나 선정한다.
    중앙처리장치(central processing unit)은 줄여서 CPU라고 부르거나 소형 컴퓨터에서는 마이크로 프로세서(micro processor)라고 부르기도 한다. ... 맥북 프로에서는 총 세 가지의 중앙처리장치를 사용하는데, Intel i7 6core 8750H: 2.2Ghz ~ 4.1Ghz, Intel i7 6core 8850H: 2.5Ghz ~ ... 있는데, 이 코드는 2차원의 행렬 코드로서 매우 작은 공간에 많은 정보를 저장하고자 노력하는 것을 볼 수 있다.
    방송통신대 | 5페이지 | 5,000원 | 등록일 2019.11.01
  • 공대, 소프트웨어학과, 컴공지망 세특, 생기부, 자율주제탐구 (하드웨어, 소프트웨어의 구성), 24년 수시 합격생의 생기부 자료
    사람이 이해할 수 있는 문자, 숫자, 음성, 그래픽 등으로 변환해주는 역할ex) 모니터, 프린터, 3D프린터, 빔 프로젝터, 스피커중앙 처리 장치(CPU: central processing ... -하드웨어의 구성입력장치: 사람이 사용하는 문자, 숫자, 음성 등을 컴퓨터에 입력하여 처리하기 위해서는 컴퓨터가 입력 데이터를 받아들일 수 있도록 일정한 코드로 변환해 주어야 하고, ... 입력장치에는 컴퓨터 내부에서 사용할 수 있는 정보코드로 변환해 주는 역할을 한다.ex) 키보드, 조이스틱, 마이크, 터치스크린출력장치: 컴퓨터(중앙 처리 장치)에서 처리한 결과를
    리포트 | 2페이지 | 1,000원 | 등록일 2022.05.28 | 수정일 2024.08.27
  • 분석학 ) 마이크로소프트의 Team Data Science Process 분석 방법론에 대해 논하시오
    Team Data Science Process는 대화형 데이터 탐색, 분석, 보고 등을 포함한 유틸리티를 제공한다.- 생성한 계정에 대한 Security control policy ... 템플릿의 예시는 다음과 같다.- 프로젝트의 비즈니스 및 범위를 문서화- 데이터의 구조 및 통계를 분석하여 보고서 제공- 모델의 성능 측정 보고서 제공이와 같이 표준화된 구조를 사용하여 코드와 ... 내에 Project repository를 생성하고 Team project template repository에서 시드- 프로젝트의 참여자를 추가하고 권한을 부여하여 Security control을
    리포트 | 9페이지 | 4,300원 | 등록일 2022.03.04 | 수정일 2022.03.21
  • 산업정보시스템 정의 및 흐름 데이터베이스 구축
    분류 확인 저장 기업01 : 상세설계 - Process Diagram YES 고객 QR 코드확인 기업데이터 상품후기 피드백 가맹점 부서 기업 직원교육 제품판매 NO 비구매 구매결정 ... VARCHAR(10) 제품코드 Product_Code INT(10) 원산지 Country_of_origin VARCHAR(10) 비율 Ratio FLOAT 재료원가 Material_cost ... DATE02 : 테이블 속성 상세설계 도메인 물리명 테이터타입 고객주소 Cus_Address VARCHAR(10) 고객전화번호 Cus_No INT(12) 제품성분 Product_component
    리포트 | 11페이지 | 2,500원 | 등록일 2021.02.24
  • [논리회로설계실험]VHDL을 활용한 LCD설계
    Wave form을 토대로, LCD의 State마다 어떤 값들이 나타났는지를 확인하였다. state값은 십진수로, 데이터값은 아스키 코드값을 변환하여, 문자로 나타내었다.LCD_state예측 ... , clk_50, lcd_cnt)-LCD의 state 설정Lcd의 state를 설정하는 process로, 실습에서는 43개의 state를 이용한다. ... 결정한다.Process(FPGA_RSTB, clk_100k, load_50 ,cnt_50)만들어진 100Khz 클럭을 기준으로, 50hz의 클럭을 만드는 과정이다.
    리포트 | 7페이지 | 2,000원 | 등록일 2021.06.26
  • ERP 도입효과
    원가절감 부품 및 자재조달 비용 감소 1) 투명한 경영2 표준화, 단순화, 코드화3. Cycle Time 단축4. 최신 정보기술 도입* ERP 도입 예상 효과1. 투명한 경영2. ... 파악TFT 결성 (kick off)현재 시스템 문제파악목표와 범위설정현업 요구 분석.주요 성공요인 도출 경영전략 및 비전도출 세부추진 일정계획수립 시스템 설치.2 설계TO-BE process
    리포트 | 5페이지 | 2,000원 | 등록일 2023.07.23
  • 구매자재관리사 KPM 총론 대비 요약집(2023년 인강+교재 요약본)
    MOQ)자재관리: IF (Inventory File) – 재고상황표 (재고정보: 현물+미착품)Item Code No (1품목은 1사양으로 되어 있어야 하며 One item one code ... 선진업무 프로세스(Best Business Process) 내장3. ... 수출용원재료=수출용원자재- 영세율: 수출하는 재화 or 용역의 공급가액에 0의 세율을 적용 (완전면세)하여 부가가치세 계산HS 10 (신국제통일상품분류기호): 국제적으로 통용되는 상품별 코드2
    시험자료 | 54페이지 | 7,000원 | 등록일 2023.04.10
  • [깔끔하게 표로 정리한] 토익 RC 기본, 형용사
    most (대부분의) small business owners are dissatisfied with the government's efforts to reform the tax code ... acclaimed novel was a demanding creative process (that lasted for over three years)].acclaimed호평을 받은eligibility적임 ... (설득력있는)persuaded (확신하고 있는)considerable (상당한)considerate (사려깊은)profitable (유리한)proficient (능숙한)collective
    리포트 | 1페이지 | 1,000원 | 등록일 2020.05.01 | 수정일 2020.05.04
  • (컴퓨터의이해) 아래의 문제에 대하여 A4 용지 2페이지 내외로 서술하라
    중앙처리장치인 CPU(Central Processing Unit)는 대표적인 하드웨어 프로세서이며 모든 장치들은 이를 이용하고 있다.2-(가)이번 절에서는 2차원 바코드(2-dimensional ... QR코드는 네이버 등의 포털사이트에서 ‘QR코드 만들기’를 검색하여 무료로 만들 수 있는 사이트를 이용할 수 있으며, 스마트폰 앱스토어에서 ‘QR코드생성’이라는 키워드로 QR코드를 ... (맥시코드), Cadeblock(코드블락) 등 다양한 종류가 있다.
    방송통신대 | 6페이지 | 2,000원 | 등록일 2021.02.03
  • AJAX 강의 012
    (‘ argv 속성의 파라미터 수 : ’ + process.argv.length ); console.log( process.argv ); env : 환경 변수 정보 console.log ... ( process.env ); console.log(‘OS 환경 변수의 값 ’ + process.env [‘OS’]); exit(): 프로세스를 끝내는 정보 Process 객체Node.js ... 모듈 (Module) 메인 파일 파일 있는 지 확인 파일 읽기 화면에 내용 출력 코드 실행 순서 파일 있는 지 확인 파일로 분리 파일 읽기 파일로 분리 화면에 내용 출력 파일로 분리Node.js
    리포트 | 32페이지 | 3,000원 | 등록일 2021.12.03
  • 영상처리(매트랩 코드 포함) 가우시안 필터 미디언 필터 gaussianFiltering median
    나의 코드close all; clear all; clc;im = imread('Lenna_salt_pepper.png');im = im2double(im);sigma = 5;result ... 나의 코드close all; clear all; clc;im = imread('Lenna_salt_pepper.png');filterSize = [3,3];result = medianFiltering ... 가우시아 필터란영상의 세세한 부분을 제거하여 부드럽게 하는 필터로, 스무딩 처리(Smoothing processing)라고도 한다.스무딩 처리에 사용되는 대표적인 저역 통과 필터로
    리포트 | 9페이지 | 2,000원 | 등록일 2020.12.14 | 수정일 2020.12.23
  • 디지털시스템실험 2주차 결과보고서
    좌측의 Verilog 코드를 생성하였다.5. ... Family & Device Setting 페이지에서 Device Family : Cyclone ⅣE / Device : EP4CE30F23C8 로 설정하였다.3. ... Processing > Start > Start Analysis & Elaboration 메뉴를 선택하여, 설계된 로직의 문법 오류 검사를 수행하고 Start Compilation
    리포트 | 2페이지 | 1,000원 | 등록일 2020.07.29
  • 광운대 정보화와 현대사회 중간고사 용어정리 (A+학점)
    스토리텔링21.윤리: 사람으로서 마땅히 행하거나 지켜야 할 도리22.BYOD: 스마트폰, 태플릿 PC 등 개인 소유의 IT 단말기를 업무에 활용하는 현상23.SoC(system on chip ... 및 국민의 삶의 질의 향상을 촉진하고자 하는 사회구조4.샘플링: 아날로그 사운드를 디지털 사운드로 바꾸는 것5.모눈종이(이미지): 위치정보와 색상정보를 기억, 기록6.EBCDIC코드 ... : 텍스트파일에 쓰는 코드7.적시성: 정보가 수요자가 필요로 하는 시간에 제공 되어야함 스피드와 타이밍이 중요8,사적가치: 정보가 수요자에게만 가치가 있고, 보유자가 배타적으로 보유하려는
    시험자료 | 2페이지 | 1,500원 | 등록일 2021.04.18 | 수정일 2021.08.18
  • Design Flow of a Digital IC 요약
    이때 리소스의 공유는 MUX와 De-MUX를 통하여 이루어진다.Multiplexing scheme and datapth/control path circuit (다중화 방식 및 데이터 ... ) 등에 사용가능한 비트스트림 파일을 생성한다.- 초기합성을 거치면 HDL 코드의 기능 검증을 위해 테스트 벤치를 통한 시뮬레이션을 수행한다.- 시뮬레이션의 수행을 통해 문제가 없으면 ... digiral IC design / 디지털 집적회로의 설계 흐름* Associated issues and emerging trends during the digital IC design process
    리포트 | 3페이지 | 1,000원 | 등록일 2020.04.15
  • 방송대 컴퓨터의이해 과제물
    사용하는 운영체제(16 페이지 참조)의 이름은 무엇이고 어떤 역할을 하는지 간단히 설명한다.개인용 컴퓨터 - 노트북노트북의 중앙처리장치중앙 처리 장치, 영어로 CPU는 ‘Central Processing ... 이러한 컴퓨터의 속도는 CPU의 종류마다 천차만별이다.CPU의 속도를 나타내는 대표적인 단위는 ‘클럭(clock)’이며, 이것은 초당 CPU 내부에서 몇 단계의 작업이 처리되는 지를 ... 명령어를 저장하는 역할을 하는 프로세서 레지스터, 비교, 판단, 연산을 담당하는 산술논리연산장치 (ALU), 명령어의 해석과 올바른 실행을 위하여 CPU를 내부적으로 제어하는 제어부(control
    방송통신대 | 11페이지 | 3,000원 | 등록일 2020.11.27
  • ERP정보관리사 회계1급 이론요약
    패키지 선정 ③ 기대효과 - 투명효과 : 기업의 투명 회계 구현 - 결산작업단축 = 공급사슬 단축 - 사이클타임 감소 = 의사결정의 신속함 - 비즈니스 프로세스 표준화, 단순화, 코드화 ... 경영혁신 운동 (3가지) - 아웃소싱, BPR, ERP ① 아웃소싱 : 외부업체에 맡기는 것 ② BPR (Business Process Re-Engineering) ⟷ BPI : ERP
    시험자료 | 32페이지 | 9,000원 | 등록일 2024.07.13 | 수정일 2024.07.24
  • 컴활 필기 1과목-컴퓨터 일반 정리본
    서비스, 문제처리 프로그램cf) 라이브러리: 프로그램 개발을 위해 제공되는 소프트웨어의 구성요소로 라이브러리는 재사용이 가능한 코드와 함수들의 모음2. ... program)과 처리 프로그램(process program)으로 구성1) 제어 프로그램 종류: 감시, 작업관리, 데이터관리 프로그램2) 처리 프로그램 종류: 언어번역(컴파일러), ... 정확하게 처리하고 신뢰할 수 있는 정도3) 처리능력: 시스템이 일정 시간 내에 처리 할 수 있는 능력4) 응답시간: 시스템이 작업을 처리하는 데 걸린 시간- 운영체제는 제어 프로그램(control
    시험자료 | 28페이지 | 5,500원 | 등록일 2024.03.20
  • 다락휴 ppt
    code=0200 key=20130131.22015210826 - SK 네트웍스 공식 채용 블로그 http :// www.skcareersjournal.com/1001? ... 기업 경쟁력 분석 STP 7Ps 7Ps SWOT Promotion Physical evidence People Process 캡슐 형태로 된 작은 호 텔 휴식을 위해 필요한 물품 을 ... category=696983 캡슐호텔 다락휴 CS 사업부 운영팀 백사현 주임 ( 질의응답 ) PAIK SAHYUN rain1sun@sk.comThank you Full Squad{nameOfApplication
    리포트 | 16페이지 | 1,500원 | 등록일 2019.10.30
  • 급성 심근경색(MI) SBAR + 간호과정 2개
    process를 확인한다.- 심장마비 후 심폐소생술까지의 시간경과가 환자의 생존을 결정하는 중요한 요소이므로, 코드블루 Process를 정확하게 인지하고 수행가능 여부를 확인하는 ... 54세 남성 175cm/110kg (BMI:35.92 고도비만)? 진단명: 급성 심근경색(MI)? 주증상 : 흉부 통증, 발한, 호흡 곤란? ... 활력징후는 정상양상을 보이시고, 12시30분경 진행된 혈액검사결과 HCO₃- 18, CK-MB 20, Troponin T 2.2와 The 12-lead ECG 결과 ST분절 상승, 방금 chest
    리포트 | 3페이지 | 1,500원 | 등록일 2021.06.04
  • 논리회로설계실험_비교기,MUX,ALU 결과레포트
    A와B가 입력이므로, process의 sensitivity list에 A와B를 넣는다. A>B인 경우에 X에는1을 Y에는0을 Z에는0을 넣는다. ... b -> y=00101 xor 01100=0100170~80ns (s=111, NOT) : y=a -> y=not 00101=11010시뮬레이션 결과 연산이 잘 되었으므로 소스 코드
    리포트 | 17페이지 | 2,500원 | 등록일 2021.10.09
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:28 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대