• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(46)
  • 리포트(41)
  • 자기소개서(5)

연관검색어

"VHDL 자판기" 검색결과 1-20 / 46건

  • VHDL 자판기소스
    저희 이 작품으로 A+ 맞았구요^^교수님이 정말 재미난 기능들로 연동을 하여 매우 흡족하셨습니다.핀번호는 폴더안에 다 들어있구요 주석 하나하나 다 달아놓았습니다.모르시는 부분이 있으시면 연락 주시면 친절히 대답해드리겠습니다~기능 설명을 하면은DotMatrix, Text..
    리포트 | 6,000원 | 등록일 2014.12.18
  • [VHDL][논리회로] 자판기 설계(3가지,반환)
    [VHDL][논리회로] 자판기 설계(3가지,반환)A+받은 설계 입니다실습 최종 과제 였고 최선을 다해서 만들고교수님한테도 칭찬 받은 설계입니다.코인 반환과 잘못된 코인 입력시 반환기능도
    리포트 | 1,000원 | 등록일 2014.11.15 | 수정일 2018.05.17
  • [VHDL][논리회로] Mealy, Moore Type의 FSM(신문자판기)
    [VHDL][논리회로] Mealy, Moore Type의 FSM(신문자판기)A+받은 설계 입니다
    리포트 | 1,000원 | 등록일 2014.11.15 | 수정일 2018.05.17
  • VHDL을 통한 자판기 설계
    자판기 [VHDL 언어 구현]library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity zafan
    리포트 | 8페이지 | 2,500원 | 등록일 2009.12.16
  • VHDL을 이용한 소주,맥주 자판기 만들기
    소주, 맥주 자판기 소스 전문박 찬 봉 교 수 님 조2005200026 김경민2005200173 서주완2006200131 박정영2006200378 한효준drink.vhd-------
    리포트 | 7페이지 | 2,000원 | 등록일 2011.06.24 | 수정일 2015.07.19
  • 아주대 논리회로 VHDL 첫번쨰 과제 자판기
    첫 번째 과제는 자판기를 금액으로 표시하는 것으로써, 각 세그먼트 당 8bit 출력으로 만들었고 출력을 결정하는 입력을 4-bit로 표현하였다. 4-bit로 표현했으므로, 0~15까지 ... 또한 자판기에는 100원을 넣는 것이므로, 3번째와 4번째 segment에서는, 항상 0이 출력되게 만들면 된다.따라서 예상되는 결과로는, 0원부터 9900원 까지 표현이 가능하며,
    리포트 | 4페이지 | 4,000원 | 등록일 2014.03.23
  • 자판기(vending machine) VHDL
    자판기(vending machine)디지털 시스템 설계의 예로 자판기(vending machine)를 설계하고자 한다. 은 자판기(vending machine)의 블록도 이다. ... 자판기(vending machine)는 6개의 키패드 스위치를 가지며 해당 키패드는 에서 확인 할 수 있다. key vector는 반환, 주스, 우유, 커피, 500원, 100원짜리 ... (vending machine) key 입력 상태도자판기(vending machine)를 Moore Machine으로 구현하면 과 같이 상태를 표현 할수 있다. coffee, milk
    리포트 | 8페이지 | 2,000원 | 등록일 2007.04.12
  • [디지털회로]VHDL을 통한 자판기 구현 PPT
    VHDL을 통한 자판기 구현그림 1 은 자판기(vending machine)의 블록도이다. ... 자판기(vending machine)1 : 100원짜리 동전 5 : 500원짜리 동전 4 : reset C : 반환 D : 커피 / E : 우유 F : 주스그림2그림 3 는 자판기( ... 자판기(vending machine)는 6개의 키패드 스위치를 가지며 해당 키패드는 그림 2 에서 확인 할 수 있다. key vector는 반환, 주스, 우유, 커피, 500원, 100원짜리
    리포트 | 18페이지 | 3,000원 | 등록일 2008.12.15
  • 자판기 설계(상태도,상태표,카노맵,회로도,VHDL설계)
    library BITLIB; // 라이브러리 BITLIBuse BITLIB.bit_p..
    리포트 | 22페이지 | 10,000원 | 등록일 2011.12.13 | 수정일 2019.03.08
  • VHDL을 이용한 자동판매기 자판기 설계 (C언어 사용)
    Vending machine동작설명Coffee, Latte, Cocoa, Mocha를 판매하는 자판기 설계 50원, 100원 짜리 코인 입력 - C 와 D 키로 입력 4가지 제품 선택
    리포트 | 14페이지 | 5,000원 | 등록일 2010.05.17
  • VHDL이용한 주유소 표시(자판기 업그레이드 버젼)
    VHDL을 이용한 주유소 나타내기 (텀프로젝트)Component 문을 사용하여 VFD(LCD), LED, DOT-LED,Seven-Segment 를 구동 시키는 프로그램1.Toplibrary
    리포트 | 28페이지 | 2,000원 | 등록일 2009.06.24
  • [VHDL] VHDL 자판기 설계
    본문은 없고 자판기 설계한 소스 입니다.기능은 10원 50원 100원 500원 입력 그리고 150원 200원 차 판매 반환버튼 으로 인한 각 동전별 순차적 반환 반환시 동전이 반환
    리포트 | 50페이지 | 10,000원 | 등록일 2003.06.28
  • VHDL 로 만든 자판기(vending machine) 컨트롤러 소스입니다.
    VHDL로 만든 자판기 컨트롤러 소스입니다.(vending machine)실행화면은 모델심 수행화면입니다.
    리포트 | 6페이지 | 5,000원 | 등록일 2008.03.30 | 수정일 2021.06.28
  • VHDL을 이용한 Vending machine(자동판매기/자판기)
    동작설명Coffee, Tea, Orange, Coke를 판매하는 자판기 설계 50원, 100원 짜리 코인 입력 - Key pad 로 입력 5가지 제품 선택 - Key pad로 입력
    리포트 | 17페이지 | 3,000원 | 등록일 2006.12.15 | 수정일 2014.08.07
  • Max+plue[VHDL]을 이용한 음료수 자판기 설계
    음료수 자판기 설계⑴ 설계 개요커피와 오렌지 쥬스를 판매하는 음료수 자동판매기를 설계하고자 합니다. ... 자판기에서 원하는 음료수를 얻기 위해서 동전투입기에 동전을 넣게되면 LED 표시장치에 불이 오게 됩니다.
    리포트 | 9페이지 | 2,000원 | 등록일 2007.06.27
  • [지방대/최종합격] 실리콘웍스 아날로그회로설계 자기소개서
    또한 논리회로설계 수업에서 FSM과 VHDL을 이용해 자판기를 설계했습니다. ... VHDL뿐만 아니라 Verilog를 배우고자 인터넷 강의를 통해 독학했으며, SoC 설계 및 프로그래밍 수업을 수강하며 C언어/Verilog로 Zynq 7000 기반의 두더지 잡기
    자기소개서 | 3페이지 | 4,500원 | 등록일 2023.05.05
  • LG 실리콘웍스 합격 자기소개서 + 면접 Q&A + 기업 조사
    [자기소개서 항목] 1. 지원동기 및 향후 계획과 포부에 대하여당사를 알게 된 경위와 지원하게 된 동기에 대해 상세히 적고, 입사 이후 계획과 자신의 포부에 대하여 기술해 주시기 바랍니다. (300~500자)2. 본인이 이룬 가장 큰 성취경험과 실패경험에 대하여본인의 ..
    자기소개서 | 2페이지 | 3,000원 | 등록일 2020.11.06 | 수정일 2021.07.27
  • 임베디드 시스템 자판기 결과보고서
    VHDL 자판기 두 번째 방법 목표 및 설계(자판기 설계 및 구현 연습)4. VHDL 자판기 세 번째 방법 목표 및 설계(자판기 구현 최종 완성)1. ... 기존 자판기 분석 및 종류2. VHDL 자판기 첫 번째 방법 목표 및 설계(자판기 설계 및 구현 연습)3. ... VHDL 자판기 두 번째 방법 목표 및 설계우선 입력할 수 있는 돈은 100원, 500원, 1000원으로 하고, 자판기가 최대 보관할 수 있는 돈은 4900원으로 한다.자판기의 제품은
    리포트 | 18페이지 | 3,000원 | 등록일 2016.04.13
  • VHDL_4_counter, sequence detector, 4way traffic light counter, Soda vending machine
    주제 배경 이론학습한 state machine의 개념을 가지고 자판기 동작을 하는 회로를 설계했다. ... 입력은 스위치 2개로 입력받으며 상태에 따라 0원, 50원, 100원으로 인식하도록 했고 네 번째 고려하지 않았다. 350원이 되면 자판기에서 음료수가 나오고 금액을 그만큼 감소하도록 ... 동작을 간단하게 모델링 한 것으로 vhdl 구현 시에 behavioral 방식으로 그대로 작성이 가능하다.Sequence detector는 과거의 입력결과를 기억해서 특정 시퀀스가
    리포트 | 34페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.04.04
  • SoC 보고서 - 1.동기통신(PS2)
    그 원인을 알아보는 과정에서 VHDL 문법적인 부분과 시뮬레이션 과정에 대해 더 많은 공부를 할 수 있었다. ... 각 자판은 고유의 Scan Code를 가지고 있다. 해당 버튼을 누르면 그 값을 받아서 PS2 프로토콜로 전송할 수 있다. ... 선을 많이 사용하기 때문에 제품의 크기가 커지고 비싸지는 다는 단점이 있지만, 빠르게 데이터를 전송할 수 있다.
    리포트 | 22페이지 | 2,500원 | 등록일 2021.09.23
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 08일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:28 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기