• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(6,072)
  • 리포트(5,746)
  • 시험자료(166)
  • 자기소개서(50)
  • 방송통신대(37)
  • 논문(34)
  • 서식(26)
  • ppt테마(12)
  • 노하우(1)

바로가기

TB 독후감 - TB 관련 독후감 10건 제공

"TB" 검색결과 201-220 / 6,072건

  • 한국전기설비규정에 의한 저압배선의 전류 보호협조 적용사례
    [그림 2]는 최대 기동전류에 대한 보호장치의 동작특성의 예시를 나타낸다.보호장치의 최소 동작시간(tb)은 전동기 기동시간인 4초에 여유율을 고려하여 6초로선정한 경우, 보호장치의 ... 하여야 한다.전동기의 기동특성을 고려하여 전동기의 분기회로의 보호장치 및 전동기가 접속된간선 보호장치의 정격전류 및 설정 값을 다음의 방법으로 선정한다.① 보호장치의 최소 동작시간(tb ... 하여 50~100%의 범위에서 가산하며, 가산시간은 5초를 초과하지 않도록 한다.② 보호장치의 규약동작 배율(d)은 과부하 보호장치의 제조사가 제시한 동작특성 곡선에서최소 동작시간(tb
    리포트 | 6페이지 | 1,500원 | 등록일 2023.07.05
  • 4비트 CLA 가산기 verilog 설계
    _4bit;reg [3:0] A;reg [3:0] B;reg Cin;wire [3:0] S;wire Cout;wire PG;wire GG;(UUT)CLA_4bit tb( .A(A), ... .B(B), .Cin(Cin), .S(S), .Cout(Cout));initialbegin$dumpfile("test_CLA_4bit_out.vcd");$dumpvars(-1,tb ... endmodulemodule Sum(input[3:0] P,C ,output[3:0] S);assign S = P ^ C;endmodule`timescale 1us/10nsmodule tb_CLA
    리포트 | 2페이지 | 2,000원 | 등록일 2020.12.19
  • IM(내과) study case DCMP (Dilated cardiomyopathy) -확장성 심근병증
    TB *02아침저녁식후 30분 PO for 70days본원2018.04.12Lasix 40mg/T (한독) 0.50 TB*01 아침식후 30분 PO for 70days본원2018.04.22Diabex ... 울혈 심부전Vastinan 35mg/T1 TB혈관확장제1) 신경계: 어지러움, 두통 2)소. ... protect 100mg/ T (바이엘) 1.00 TB*01 아침식후 30분 PO for 70 days본원2018.04.12Dilatrend 3.125mg/T (종근당) 1.00
    리포트 | 19페이지 | 5,000원 | 등록일 2019.10.27 | 수정일 2022.06.20
  • 국내외 감염병 관리체계비교 및 만성질환 관리의 특성, 지역사회간호학 레포트
    국외(미국)2015년 12월 미국정부는 국내외적 결핵위기를 극복하고 WHO의 결핵퇴치 목표 및 STOP TB을 지지를 위해 5개년의 국가결핵관리 실행계획을 발표하였는데,① MDR-TB ... 목표(SDGs, Sustainable Development Goals)에서도 결핵관리 전략을 포함시키고 있으며(UN, 2014; 2015), 세계보건기구(WHO)에서는 STOP TB ... 전략(2000-2015)에 이어 END TB 전략(2016-2035)을 통해 전 세계의 결핵 발생과 사망을 감소시키기 위한 노력을 기울이고 있다(WHO, 2016)(2) 결핵의 원인원인균은
    리포트 | 10페이지 | 1,500원 | 등록일 2023.01.29
  • [간호관리학실습] 간호관리학 지침서 [타 부서와 협상 또는 협력 사례 / 공감능력 향상 또는 공감을 적용한 간호관리 활동 적용사례] [레포트, 실습 지침서, 실습 보고서, 사례 보고서, 간호관리학 협력, 간호관리학 공감]
    상황: 병동에 TB 의심 환자가 출현하였음. 바로 1인실 transfer를 하려고 했지만 음압격리 시설을 갖춘 1인실이 없었음. ... 하지만, 병동 내 양압의 1인실(이식병동)이 비워 있는 상태로 00 병동의 1인실 환자에게 협조를 구하여 양압 1인실로 옮기고 TB환자를 00 병동의 음압병실에 전실할 수 있었음.?
    리포트 | 2페이지 | 1,700원 | 등록일 2022.10.19 | 수정일 2022.12.08
  • 신생아황달 고빌리루빈혈증
    황달이 나타날 때 1-2일 모유수유 중단하면 TB은 급격히 감소하고 다시 모유수유 해도 모유황달 재발하지 않음. ... 5mg/dl- TB 만삭아 12mg/dl 미숙아 15mg/dl 만삭아는 8일, 미숙아는 14일 지속되는 황달- D-bil 2mg/dl- 0.2mg/dl/hr이상의 증가속도핵황달빌리루빈 ... hypothyroidism 갈락토스혈증 등)병태생리용혈성질환: Rh부적합증-ABO부적합, Hb,Hct과다담즙배설의 저하(담도폐쇄)병리적황달 기준- 첫 24이내 황달 출혈- 첫 24간 이내 총 TB
    리포트 | 3페이지 | 2,000원 | 등록일 2023.05.30
  • OS CASE STUDY septic arthrisis 관절염
    )본원2019-10-10Torem 2.5mg/T 1TB *01 아침식후 30분 PO for 98 Days(이뇨제, 고혈압치료제)본원2019-10-10Concor 2.5mg/T 0.5TB ... *01 아침식후 30분 PO for 98 Days(정신신경용제, 우울장애, 공항장애, 범불안장애 치료)본원2019-10-10Lipilou 20mg/T 1TB *01 아침식후 30분 ... PO for 98 Days(동맥경화용제, 고지혈증 치료제)본원2019-10-10Isotril SR 60mg/T 0.5TB *01 아침식후 30분 PO for 98 Days(혈관확장제
    리포트 | 18페이지 | 2,000원 | 등록일 2020.04.20
  • 성인간호학 Tuberculosis Case Study
    사례연구보고서질환명 : Tuberculosis과목명제출자실습지도교수실습병원실습병동담당수간호사Ⅰ. 서론 ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ?..
    리포트 | 22페이지 | 3,500원 | 등록일 2020.03.20 | 수정일 2023.06.13
  • case study-아동간호학실습(신생아 황달-간호진단/과정2개)
    -빌리루빈 수치 검사를 통해 빌리루빈 수치를 확인함.12.03 -TB:16.312.04 -TB:15.512.05 -TB:14.0간호평가(간호 목표 및수행에 대한 평가달성: 빌리루빈 ... :16.312.04 -TB:15.512.05 -TB:14.0고빌리루빈혈증을 검사한다.4) 간호 진단간호 문제 목록번호간호 문제발생일종료일1신생아 황달2018.12.012018.12.062영양장애 ... (모-감기(12/3)) TB수치 16.3으로 피부 색은 황금 빛을 띄며 광선치료 하는 중이다.
    리포트 | 12페이지 | 2,000원 | 등록일 2020.03.03 | 수정일 2020.03.23
  • 성인간호학실습 EMR 간호과정 폐결핵 케이스 낙상 위험성, 비효과적 기도청결, 피부통합성 장애 위험성
    위한 기초자료 수집 (1) 현병력○ 과거력 -ICH(출혈성 뇌혈관질환) -2012년 경: 통풍 진단(확실치 않음) -HTN/DM/Hepatitis/Allergy/Tb ... 입원 당시 의식은 명료하였고, CT 검사결과 active TB 판독 결과가 나와 음압 격리실 사용 중, 결핵, 폐암, 농양 3가지 가능성 있으나 결핵 가능성 높다 함.
    리포트 | 32페이지 | 3,000원 | 등록일 2021.05.25 | 수정일 2022.07.08
  • [지진과 사회] 지진 9주차
    = x/VB2 + tB2여기서 VA2, VB2는 상하향 전파시 겉보기 속도를 의미한다.PAGE \* MERGEFORMAT1 ... 만다게 되면 주시곡선은 서서히 증가하다가 잠시 끊긴 후 두개로 나뉘게 된다.수평2층구조에서 상부층의 속도 및 깊이를 계산하는 방법을 서술하시오TA2 = x/VA2 + tA2 , TB2
    리포트 | 2페이지 | 1,000원 | 등록일 2021.02.02
  • [통번역참고자료] 6개국 관현악 악기 이름 약 50가지 (영어,이탈리아어,프랑스어,독일어,일본어,한국어)
    /Trb)Trompete (Tr/Trp)trompette (Tp)トランペット트럼펫tuba (Tba)tuba (Tb/Tba)Tuba (Tb/Ta)tuba (Tba)チューバ튜바VibraphoneVibrafonoVibraphonVibraphone ... 트럼본triangle (Tri)triangolo (Trgl/Tri)Triangel (Trg)triangle (Trg)トライアングル트라이앵글trumpet (Tr/Trpt)tromba (Tr/Tb
    리포트 | 2페이지 | 1,000원 | 등록일 2021.03.14 | 수정일 2021.09.09
  • [A+ 식품분석 및 실험 레포트] pH Determination (pH 측정, pH test paper, pH meter)
    : 3.63.11502.4~3.412소다10TB: 8.8AZY: 9.68.74508.4~8.813식초+식소다 16ml9TB: 8.8AZY: 9.68.9850·14식초+식소다 60ml9CR ... : 8.2TB: 8.48.1550·15coffee5BCG: 4.6MR: 6.44.70·5.016coffee+cream5BCG: 5.0MR: 5.85.37·6.0~7.017coffee ... 4.8MR: 5.04.76·5.018알로에 주스4BPB: 3.8BCG: 4.03.36·2.0~4.019오렌지 주스5BCG: 3.6MR: 5.43.76·3.820딸기즙2CR: 1.6TB
    리포트 | 9페이지 | 2,000원 | 등록일 2021.01.05
  • 일물실2 전류저울 보고서
    =81.57 x10 ^{-3} TB =81.06 x10 ^{-3} T6. ... = 82.75 x10 ^{-3} TB = 83.12 x10 ^{-3} TL = 0.04mL = 0.06mL = 0.08m전류(A)질량(g)힘(N) x10 ^{-3}전류(A)질량(g ... 0000000000.50.0450.450.50.0850.850.50.1201.201.00.090.901.00.1601.601.00.2502.501.50.1351.351.50.2452.451.50.3753.752.00.1851.852.00.3303.302.00.5055.052.50.2302.302.50.4204.202.50.6306.303.00.2752.753.00.5005.003.00.7557.55B = 91 x10 ^{-3} TB
    리포트 | 5페이지 | 1,000원 | 등록일 2022.09.04 | 수정일 2022.09.08
  • 포항공대 화학과 대학원 연구계획서
    연구, NS1에 의해 유도된 G-quadruplex 앱타머의 구조적 변화를 이용한 뎅기열 진단을 위한 새로운 원샷 형광 앱타센서 개발 연구, 그래핀 옥사이드 앱타머 비콘 기반 순환 TB7.7의
    자기소개서 | 1페이지 | 3,800원 | 등록일 2022.09.27
  • [A+ 2021 성인간호학실습3] GS/8A 병동 실습 Hepatocellular carcinoma, 간세포암종,
    해독제로 과량투여시 과민반응이나 고혈압 유발급·만성 기관지염, 기관지확장증, 천식모양기관기지염, 인·후두염, 부비동염, 낭성섬유증, 수술 후 폐합병증K-Cab 50mg/T(CJ)1 TB ... 치료제구역, 설사, 소화불량, 비인두염, 상기도바이러스감염, 흉부불편 등미란성 위식도역류질환의 치료, 비미란성 위식도역류질환의 치료, 위궤양의 치료Airtal 100mg/T(대웅)1TB
    리포트 | 22페이지 | 2,000원 | 등록일 2021.08.09 | 수정일 2021.08.31
  • [충남대] 분자생물생화학실험 - 대장균 IPTG 처리, 크로마토그래피, 재조합 단백질 생산
    autoclave 이후 KPO4 salts 40ml를 넣는다. 1차 접종한 배양액 4mL를 제작한 TB배지 400mL에 넣는다. ... 이후 pipetting한 뒤에 37℃ shaking incubator에서 overnight 배양한다.(2) Second culture (Main culture)TB배지를 제작한다(( ... 본 실험에서는 TB배지 400mL를 제작하기 위해 4.8 tryptone, 9.6g yeast extraction, 3.2ml glycerol(50% 희석), H2O 360ml를 넣고
    리포트 | 15페이지 | 5,000원 | 등록일 2024.04.17
  • 간호관리학 케이스스터디(casestudy) - 전문직간 협력 및 조정을 중심으로 (진단3개, 과정없음)
    감사합니다.self med)글루리아드정 500/5mg 1TB QD[9]자디앙정25mg 1TB QD[9]수바로오디정5mg 1TB QD[9]란투스 40IU [21]회신(내분비내과)24.03.212 ... foot있으셔서 이렇게 약 들어가고 있고 항문 쪽으로는 self약 도포중입니다.3-21 Alb ---(2.7), 알부민주20% 1BT(3-20)3-21 K ---(3.4), 케이콘틴서방정 1TB
    리포트 | 11페이지 | 2,500원 | 등록일 2024.05.26
  • [간호학과 CASE STUDY] 기본간호학/담도결석/간호과정 3개
    QID)Nexium 40mg (IVQD)Magnesium Oxide 2TB (아침 식후 30분)Rowachol 2CP (아침 식후 30분)12:09 Tramadol HCl 50mg ... (IVTID)13:00 Magnesium Oxide 2TB (식후 30분)Rowachol 2CP (식후 30분)16:00 N/S 100mL (IVQD)Tazolactam 4500mg ... 심할 경우 처방에 따라 6right에 맞게 변비약을 투여한다.② 변비가 심할 경우 처방에 따라 6right에 맞게 변비약을 투여하였다.- 10:00 Magnesium Oxide 2TB
    리포트 | 23페이지 | 2,500원 | 등록일 2023.08.28
  • 한국전기설비규정 차단기 선정 방법
    일반적으로 기동시간×1.5 선정).4초 * 1.5 = 6초(보호장치의 최소 동작시간은 6초)② 보호장치의 규약동작배율(δ)는 MCCB 제조사가 제시한 동작 특성곡선에서 최소동작시간(tb ... 특성곡선의 교점에 해당하는 동작전류가 차단기의 규약동작배율(δ)이 된다.아래 [그림1]은 과전류차단기(LS, Susol TS 100)의 동작특성곡선을 나타낸다.보호장치의 최소동작시간(tb ... 전전압기동시 정격전류의 6∼8배 정도의 기동전류가 회로에 흐르므로MCCB의 오동작을 방지를 위하여 전동기 분기회로 MCCB의 정격전류를 다음의방법으로 선정한다.① 보호장치의 최소동작시간(tb
    리포트 | 8페이지 | 2,000원 | 등록일 2022.10.11
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:11 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대