• 유니스터디 이벤트
  • LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(6,071)
  • 리포트(5,745)
  • 시험자료(166)
  • 자기소개서(50)
  • 방송통신대(37)
  • 논문(34)
  • 서식(26)
  • ppt테마(12)
  • 노하우(1)

바로가기

TB 독후감 - TB 관련 독후감 10건 제공

"TB" 검색결과 141-160 / 6,071건

  • 컴퓨터의이해 중간과제물
    사무용과 게임용 모두 1TB의 하드디스크를 사용하고 있었고, 게임용과 영상편집용은 256GB SSD를 사용하고 있었다. ... G54203.80GHz, 4MBIntel Core i7-97003.00Ghz, 12MBIntel Xeon W3.5GhzRAM4GB DDR416GB DDR432GB DDR4저장장치1TB ... HDD1TB HDD256GB NVMe SSD256GB SSD그래픽Intel UHD Graphics 610Geforce RTX 2070Radeon Pro 580X전원350W600W1280W1
    방송통신대 | 3페이지 | 6,000원 | 등록일 2021.06.28 | 수정일 2022.05.06
  • 성인간호실습 - 임상개념도 과제(ARDS, Pneumonia)입니다!
     F/58  키/체중: 155cm/34kg  주진단: ARDS, Pneumonia 부진단: Fungal ball, TB destroyed lung(고3때 치료) ... 상태호전으로 extubation, NIV 적용, 일반병동으로 전실하였으나 (2/23) PCO₂ retension으로 Intu 필요하여 다시 MICU로 전실 과거력: TB
    리포트 | 1페이지 | 2,000원 | 등록일 2024.07.04
  • [A+] 온도계 보정 레포트
    (t100 - t0) : (t - t0) = (Tb - 0) : (T - 0)(t100 - t0) T = (t - t0) TbT = {Tb / (t100 - t0)} x (t-t0) ... Tb : 실험실 압력에서의 물의 끓는점오차 = [{lT참값 - T측정값l} / T참값 ] x 100◆ 실험방법(1) 어는점 측정1. 200ml 비커에 얼음을 넣고 증류수를 100ml
    리포트 | 2페이지 | 1,000원 | 등록일 2023.08.15 | 수정일 2023.08.18
  • 롯데문화재단(기) 자기소개서 작성성공패턴 면접기출문제 입사예상문제 논술주제 인성검사 공기관 시사상식문제 적성검사 직무수행계획서견본
    부분에서 경쟁기업과의 부족한 부분을 말해 보세요.7) 롯데그룹의 문제점이나 단점을 설명해 보세요. 8) 롯데그룹 하면 떠오르는 키워드를 아는대로 1분안에 모두 말해 보세요. 9) 1 tb
    자기소개서 | 457페이지 | 9,900원 | 등록일 2021.06.19
  • 정신간호학실습 - A+/정신병동/폐쇄병동/조현병/Schizophrenia/정신간호학
    시야몽롱, 두통, 떨림, 근육경련, 기립성 저혈압, 구역, 고열Abilify5mg/T 1 TB조현병속메스꺼움, 구토, 변비, 두통, 어지러움, 불안, 불면Ativan1mg/T 1 TB1 ... /T 3.5 TB약제내성 조현병 환자 또는 심한 추체외로계 이상반응(특히, 지연이상운동)을 일으키는 조현병 환자의 치료졸음, 어지러움, 맥박증가, 변비, 과다한 침분비, 체중증가, ... 인한 파킨슨증시야몽롱, 어지러움, 졸음, 두통, 기억력 감소, 입마름, 코 건조, 위장장애, 배뇨장애, 빛에 눈이 민감해짐Indenol40mg/T 1 TB기외수축(상실성, 심실성)
    리포트 | 23페이지 | 2,500원 | 등록일 2023.03.19
  • 온도 보정
    Tb = 100℃※ ... (t100 - t0) : (t - t0) = (Tb - 0) : (T - 0)? (t100 - t0) T = (t - t0) Tb? ... (t100 - t0) : (t - t0) = (Tb - 0) : (T - 0)? (t100 - t0) T = (t - t0) Tb*기압계가 없으므로 실험실은 1기압으로 가정.
    리포트 | 6페이지 | 2,500원 | 등록일 2023.10.25
  • 흙의 비중(specific gravity) 실험 보고서
    따라서 Ta℃의 비중병을 포함한 무게 Wa를 Tb℃로 환산한 무게는{gamma w(Tb)} over {gamma w(Ta)} (Wa-Wf)+Wf이다. ... 먼저 4℃의 물의 무게를 구하면Ww prime = {Wa-Wf} over { gammaw(Ta) }이고 이를 다시 임의 온도 Tb℃에서의 물이 무게로 환산하면Ww prime (Tb) ... 따라서 임의온도 비중을 표준온도인 15℃로 환산한 비중은Gs= {gamma w(Tb)} over {gamma w(15 CENTIGRADE )} Gs(Tb)이다.1.2 목적흙이나 모래처럼
    리포트 | 2페이지 | 2,000원 | 등록일 2022.01.03 | 수정일 2023.07.08
  • Electrical Equipment & Field Device의 Tagging Procudure 표준화
    ALWAYS STICK WITH TB TAG TB 2ST PHASE IN 3 PHASE POWER TERMINAL BLOCK T O NUMBER ALPHABET TB1A-T1A TB ... ALPHABET TB1A-L1A TB UNPOWERED PHASE IN 2 PHASE POWER TERMINAL BLOCK N O NUMBER ALPHABET TB1A-N1A TB ... ALPHABET TB1A-N1A TB EARTHING TERMINAL BLOCK E O NUMBER ALPHABET TB1Ahow}
    리포트 | 16페이지 | 3,000원 | 등록일 2020.12.02
  • IM실습케이스 (DM, HD) A+자료입니다. 간호진단 5개, 간호과정 2개
    안되어 Dilatrend 25mg/T po 1회, minoxidil 5mg/T po1회, Lantus solostar 20IU By sc x 1회, Tenelia 20mg/T 1TB ... po 1회혈당을 감소시키는 약 (메트포르민 성분 포함된 복합혈당강하제)저혈당, 변비, 설사, 오심, 구토, 식욕감퇴, 발진, 가려움, 권태감Dilatrend 25mg/T1TB po ... 하는 당뇨병 치료제저혈당(증상: 식은땀, 피부창백, 두통, 심장 두근거림, 떨림, 불안감, 눈이 흐릿해짐, 피로감)주사부위의 통증, 부종, 발적, 가려움증Tenelia 20mg/T1TB
    리포트 | 13페이지 | 2,000원 | 등록일 2022.03.14 | 수정일 2022.03.18
  • 총담관암(CBD cancer), 췌장암(pancreas cancer), 주증상 황달 약물
    대상자의 약물치료현황약명용량과 용법작용적응증부작용교육Ursa(=UDCA) 100mg/T우루사정1 TB PO *03우루사정의 UDCA(우르소데옥콜산) 성분을 통해 독성물질로부터 간을 ... 교육함- 아타자나비르 및 넬피나비르를 투여 중인 환자- 임신 1기인 임부 또는 임신하고 있을 가능성이 있는 여성- 릴피비린 함유제제를 투여 중인 환자Kanarb 30mg/T카나브정1 TB ... 임의로 용량을 바꾸거나 중단해서는 안 됨을 교육함Norvasc 10mg/T노바스크정1 TB PO *01노바스크정은 칼슘채널억제제 계열 약물로, 칼슘 이온이 들어오는 것을 억제하여 혈관이
    리포트 | 4페이지 | 2,000원 | 등록일 2023.11.04
  • (한/영)설비보전 예방 지표관리 개요
    분 (min) Ta 고장 (Failure) 40 분 (min) = ( T1 5min+T2 10min+T3 20min+T4 5min ) 4 회 (times) = ( ta 1 건 + tb ... 1 건 + tc 1 건 + td 1 건 ) timing = 10 분 (min) / 건 (Case) MTTR= 10 분 (min) Tb 고장 (Failure) 20 분 (min) Tc ... min) Ta 고장 (Failure) 160 분 (min) = ( T1 40min+T2 30min+T3 50min+T4 40min ) 4 회 (times) = ( ta 1 건 + tb
    리포트 | 5페이지 | 2,000원 | 등록일 2023.07.07
  • 정신간호학 study case(1. 환각과 관련된 감각/지각장애 2. 망상적 사고와 관련된 사고과정장애 3. 약물 부작용과 관련된 변비)
    , 위장장애, 졸음, 피로, 어지러움, 기억장애, 불면, 우울, 두통, 시야흐림, 땀 증가, 근육경직Tepra 40mg/T1TB불안 및 진전(떨림), 편두통의 예방제어지러움, 두통, ... 20mg/C1CP유산균제제 : 묽은 변, 변비, 장내발효이상 등 증상 개선제-Tylenol ER 650mg/T1TB PO*1해열진통제복통, 복부불쾌감, 구토, 위장출혈, 소화성궤양 ... 및 안정 효과가 있는 불안장애 개선제졸음, 어지러움, 피로, 입마름, 설사, 속쓰림, 식욕변화, 시야가 흐림, 흥분, 불면Rivotril 0.5mg/T1TB렘수면행동장애 치료제입마름
    리포트 | 16페이지 | 2,500원 | 등록일 2023.11.20 | 수정일 2024.04.05
  • 우리나라에 현재 도입되어 있는 슈퍼컴퓨터에는 어떤 것들이 있는지 역사와 종류를 찾아서 정리하시오. 그리고 세계 수준과 비교하여 어떤지 설명하시오.
    IBM p690은 2003년 11월 TOP500에서 672개의 POWER4 CPU, 4.3TB의 메인 메모리, 100TB의 디스크 스토리지, 4.3T플롭의 이론적 성능으로 42위를 ... 타키온 2는 3,200개의 노드와 인텔 제온 X5570 2.93GHz CPU, 25,600개의 CPU, 76.8TB의 메인 메모리, 1,061TB의 스토리지로 구성되어 이론 성능은 ... , 두 번째 Gai는 24개의 노드에 IBM Power 6 5GHz CPU, 1,536개의 CPU, 9.2TB의 메인 메모리, 336TB의 스토리지로 이론 성능은 30.7Tflops를
    리포트 | 5페이지 | 3,000원 | 등록일 2024.07.18
  • 맥북에어 13,15 와 맥북프로 14,16
    )4,790,000(1TB)3,690,0004,230,0005,190,000(1TB SSD 저장장치)5,940,0000(1TB)색상스페이스 그레이,실버스페이스 블랙, 실버스페이스 블랙 ... *빨간 색은, 1TB SSD 저장장치 스펙입니다. ... 최대 4대(M3MAX)배터리 사용시간22시간18시간22시간가격2,390,0002,660,0002,930,000m3프로m3maxM3프로M3 Max2,990,0003,590,000(1TB
    리포트 | 5페이지 | 2,500원 | 등록일 2024.08.17
  • 디지털 시스템 설계 및 실습 업다운 카운터 설계 verilog
    1. 실습목적동기식 카운터는 순차논리회로에서 예제로 가장 많이 사용된다. 일상생활에서는 10진수를 주로 사용하므로, 이 실습에서는 10진수를 2진수로 표현하는 BCD 카운터를 설계한다. BCD카운터는 0에서 9까지 카운트 하므로 앞에서 설계한 UP-Down 카운터와 마..
    리포트 | 4페이지 | 1,000원 | 등록일 2021.03.24
  • 호흡기계 감염병에 대한 조사-결핵
    증폭 검사(TB-PCR, Xpert MTB/RIF 포함) 결과 양성인 결핵② 임상적으로 진단된 결핵(A clinically diagnosed TB): 세균학적으로 확진되지는 않았지만 ... 투베차 모든 항결핵제에 내성을 보이는 결핵(totally drugresistant TB) 등이 있다.① 광범위 약제내성 결핵(Extensively-resistance TB, XDR ... 내성 결핵 또는 다제내성결핵이면서 한 가지 이상의 퀴놀론계 약제에 내성을 보이는 결핵③ 다제내성결핵(Multidrun-resistance TB, MDR TB): 결핵치료의 근간이
    리포트 | 15페이지 | 6,000원 | 등록일 2022.11.15 | 수정일 2023.05.16
  • 2. 액체의 끓는점상승 측정 예비
    (용액) 차이를 ΔTb라 하면 다음의 식을 만족하게 된다.ΔTb= Tb (용액) - Tb (용매)= Kb ·m· i여기서 Kb는 해당 용매의 끓는점 오름 상수, m는 녹인 비휘발성 ... 끓는점 오름이 녹아있는 물질의 농도와 관련이 있는 이유도 녹아있는 물질이 많을수록 더 많은 방해를 받기 때문이다.순수한 액체의 정상 끓는점Tb (용매)과 혼합 용액의 끓는점의 온도Tb ... 용매 1000g에 용질 wg을 첨가했을 때 끓는점 오름을 ΔTb라고 하면, 용질의 분자량 M은 다음 식에서 구할 수 있다.을 취할 수 있다.ΔTb= Kb ·m=(w/M)/(W/1000
    리포트 | 9페이지 | 1,000원 | 등록일 2021.07.23
  • 디지털시스템설계 hw2
    Swaps_bytes 코드Swaps_bytes 파형Twos_adder 코드Twos_adder tb1 파형Twos_adder tb2 파형D flip-flop 소스코드D flip-flop ... 파형Force release tb 코드Force release 파형을 위해 시뮬레이션을 하던 도중 mod1,2가 없다는 에러가 발생하는 것을 확인했습니다.
    리포트 | 3페이지 | 1,000원 | 등록일 2021.01.07
  • 결핵
    진단41) 흉부 X선 검사42) 객담 검사4A) 항산균 도말 검사 4B) 항산균 배양 검사 (고체배지+액체배지) 5C) 약제 감수성검사 53) 기타 검사법- 결핵균 핵산증폭검사(TB
    리포트 | 10페이지 | 1,500원 | 등록일 2023.02.22
  • 만성신부전증 성인간호학실습케이스 (체액과다, 감염위험성)
    25ml(이뇨제) 1TB PO qdLamina-G 20ml(제산제, 항역류제) 1PK PO qdDilatrend 12.5mg(혈압강하제)1TB PO qdRenvela 800mg(해독제 ... ) 1TB PO tidVytorin 10/10mg(항고지혈증제)1TB PO qdMegace F 625mg(식욕촉진제) 1P po qd간호진단 # 1 : 신장의 수분배설 기능장애와 관련된 ... PO qdDilatrend 12.5mg(혈압강하제)1TB PO qdCAPD 2 BALENCE SOLN 2L, 1 BG 1P1.
    리포트 | 7페이지 | 3,500원 | 등록일 2022.09.29 | 수정일 2023.01.13
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:13 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대