• 통큰쿠폰이벤트-통합
  • 통합검색(1,102)
  • 리포트(994)
  • 시험자료(47)
  • 방송통신대(29)
  • 자기소개서(20)
  • 서식(5)
  • 논문(4)
  • 이력서(3)

"computer and logic" 검색결과 201-220 / 1,102건

  • 반도체 산업 공급체인관리의 분석
    대규모 R&D 및 설비투자 필요삼성, 하이닉스, Intel조립 전문기업?가공된 웨이퍼 조립/패키징 전문?축적된 경험 및 거래선 확보 필요앰코, 칩팩, ASE생산 전문기업? ... , Digital Signal Processor 등이 있음Logic(ASIC)사용자의 요구에 의해 설계된 특정회로 반도체AnalogIC제반 신호의 표현 처리를 연속적인 신호변환에 의해 ... 반도체에 사용되는 금속의 필요조건은 웨이퍼와의 부착성이 좋아야하며, 저항이 낮아야 하고, 열적, 화학적 안정성이 뛰어나고 패턴 형성에 용이하며모가 적고 대용량 저장이 가능해 컴퓨터
    리포트 | 21페이지 | 3,500원 | 등록일 2019.12.01
  • 정보처리기사 필기 정리 / 7일 완성 / 개정 기출 반영
    릴레이션의 수평적 부분집합으로 구성-π(Project)-÷(Division)분산 데이터베이스 목표-장애 투명성(Failure) : 데이터베이스의 분산된 물리적 환경에서 특정 지역의 컴퓨터 ... 크기 순서-기능적(Functional) > 순차적(Sequential) > 교환적(Communication) > 절차적(Procedural) > 시간적(Temporal) > 논리적(Logical방법 ... 명령어-운영체제 분석 : uname소켓 기술-통신을 위한 프로그램을 생성하여 포트를 할당하고, 클라이언트의 통신 요청 시 클라이언트와 연결하는 내·외부 송수신 연계기술Fan-in &
    시험자료 | 31페이지 | 4,900원 | 등록일 2022.07.19 | 수정일 2023.12.14
  • 데이터베이스 요약(중간)
    DATABASE & BIG DATA 보고서주차별 수업 요약정리목 차1. ... 과정(추상화(abstraction))-개념적 데이터 모델링(conceptual modeling): 현실 세계의 중요 데이터를 추출하여 개념 세계로 옮기는 작업-논리적 데이터 모델링(logical ... 비정형 데이터를 처리하는데 적합하고 확장성이 뛰어남, 안정성과 일관성 유지를 위한 복잡한 기능을 포기, 데이터 구조를 미리 정해두지 않는 유연성, 확장성이 뛰어나 여러 대의 서버 컴퓨터
    리포트 | 44페이지 | 9,000원 | 등록일 2021.10.05 | 수정일 2023.04.17
  • PLC, 시퀀스 제어방식(자기유지회로,인터록회로,타이머) 실험 보고서
    이에 대응하기 위해서, FA공정제어 논리를 프로그램 형태로 작성하여, 컴퓨터로 구현하는 방법이 개발되었는데, 그 대표적인 예가 PLC (Programmable Logic Controller ... )입니다.간단한 논리회로(AND, OR, NOT, NOR, NAND등)로부터, 거의 모든 FA공정제어 분야에서, 급속한 속도로 PLC가 기존의 릴레이 제어장치를 대체되어 다양한어플리케이션에
    리포트 | 9페이지 | 3,000원 | 등록일 2022.06.27 | 수정일 2022.06.28
  • 정보처리기사 4과목 필기 요점정리 입니다.
    요소들이 그 기능을 순차적이로 수행할 경우의 응집도-시간적 응집도(Temporal): 특정 시간에 처리되는 몇 개의 기능을 모아 하나의 모듈로 작성할 경우의 응집도-논리적 응집도(Logical ... Prevention)회피 (Avoidance) 은행가 알고리즘발견 (Detection)회복 (Recovery)필요 충분 조건상호 배제 (mutual exclusion)점유와 대기 (hold and ... -다중 사용자 및 다중 작업을 지원하고 트리 구조의 파일 시스템을 가진다.커널-유닉스의 가장 핵심적인 부분으로 컴퓨터가 부팅될 때 주기억장치에 적재된 후 상주하면서 실행된다.
    시험자료 | 7페이지 | 3,000원 | 등록일 2021.05.25
  • 음악으로 배우는 인문학 (음배문) 타이핑 / 중간고사 범위 /
    리버럴 아츠(liberal arts)는 예전 서양에서 3학과 4과로 구성되었다.3학 : grammar, logic, rhetoric4과 : arithmetic, geometry, music ... 배음(overtone)에 대한 설명 중 틀린 것을 고르시오.단순한 자동차 후진 경고음, 대문 초인종 소리 등 컴퓨터로 생성한 음은 배음이 없거나 적다.오보에가 오케스트라 튜닝음의 기준이 ... Humanities : 인간이 되기 위해서 배워야 하는 학문 : 로마, 기원전 55년중세시대 인문학 (LIBERAL ARTS)3학 & 4과-> 중세시대때 인간이 되기 위해서 배추는게
    시험자료 | 24페이지 | 2,000원 | 등록일 2021.03.10
  • [WEST프로그램] 최종합격자 영문 이력서 (English Resume)
    Mathematics, Calculus1, Calculus2, Mathematical logic and Writings, Analysis1, Analysis2, General Statistics ... Honor Student)March, 2020 - Expected Graduation: February, 2025 (1 Semester remaining)Relevant Courses: Computational ... supported elementary, middle, aatives, including university tours and cultural outings to museum and
    이력서 | 3페이지 | 5,000원 | 등록일 2024.06.06
  • 정보처리 산업기사 필기 족보
    폴링데이지 체인은 H/W폴링은 S/W속도는 데이지 체인 > 폴링CAV 방식저장 공간의 낭비의 단점이 있다.주기억장치 페이지 수주기억장치 용량이 512KB인 컴퓨터에서 32비트의 가상 ... 참조.자료 결합도(Data) : 설계 품질이 가장 좋음모듈의 응집도 (135쪽)기능적 응집도(Functional) : 내부의 모든 기능 요소들이 단일한 목적을 위해 수행.논리적 응집도(Logical ... =0항등법칙 : A+0=A , A+1=12의 보수양수를 2진수로 표현하고 8자리로 맞춘다.0은 1로, 1은0으로 바꿔 1의 보수를 취한다.위 결과에 1을 더하면 2의 보수가 된다.AND
    시험자료 | 5페이지 | 5,000원 | 등록일 2020.12.01
  • [영문essay] 경영정보시스템/ 서비스로서의 소프트웨어(Software-as-a-Service, SaaS) 관련 논문 과제물입니다.
    , computer facilities management, and IT systems integration. ... Logic is an Information Technology (IT) company which has been delivering IT solutions for government ... BibliographyARCHER LOGIC (2020) Available at: Hyperlink "https://archerlogic.com/" https://archerlogic.com
    논문 | 19페이지 | 4,000원 | 등록일 2022.02.08 | 수정일 2022.02.11
  • 고려대 디지털시스템실험 (10주차 SImple Computer - Data Path)
    컴퓨터 시스템Control unitArithmetic/Logic unitInput deviceOutput device프로그램과 데이터는 메모리에 동일한 방식으로 저장되며 컴퓨터는 동일한 ... Arithmetic Logic Unit (ALU)- Arithmetic Logic Unit은 덧셈, 뺄셈 등의 산술 연산과 AND, OR 등의 논리 연산을 수행하는 회로를 의미한다. ... Circuit- Logic Circuit은 입력 A,B에 대해 {S1,S0}의 Selection Bit에 따라 AND, OR, XOR, NOT의 논리 연산을 수행하는 회로이다.
    리포트 | 10페이지 | 1,000원 | 등록일 2018.10.14
  • [디지털공학] "아날로그와 디지털, 샘플링, 부울대수, 드모르간의 법칙, 최소항, 최대항" 레포트
    "(logic-0)과 같이 2진 변수를 나타내는 두 개의 분리된 전압 레벨(level)에 따라 응답하게 된다.기본 논리 회로① AND 게이트 : 입력 조건의 모두가 참이면, 참인 결과를 ... (diode- transistor logic)의 다이오드 대신에 트랜지스터를 사용한 것으로서, 컴퓨터에 의한 제어(制御)에서는 가장 흔히 사용되는 요소ECL(Emitter Couplrd ... family)컴퓨터 공학에서 로직 패밀리는 두 개의 개념에서 나온다.
    리포트 | 10페이지 | 1,000원 | 등록일 2019.03.25 | 수정일 2019.04.01
  • 시립대 전전설2 [3주차 예비] 레포트
    전자전기컴퓨터설계실험 ⅡPre-report3주차: Logic Design using Verilog HDL1. Introduction (실험에 대한 소개)가. ... 실험을 통해 구하고자 하는 데이터와 이를 획득하기 위한 실험 순서(1) AND GATE 모델링첫번쨰, and게이트를 모델링을 해야한다. ... Materials & Methods (실험 장비 및 재료와 실험 방법)가.
    리포트 | 8페이지 | 2,000원 | 등록일 2019.07.29
  • 연세대 전기전자 기초실험 chapter. 8 (2017년판) 예렙+결렙
    We also learned this logic in several computer coding class. We use 7-segment LED. ... And, logic operation and arithmetic operation is implemented very easily by coding. ... If we control these LEDs, we can express hexadecimal number.In ALU, 7-segment, logic operation and arithmetic
    리포트 | 13페이지 | 1,500원 | 등록일 2018.07.17
  • [기초전자회로실험1] "Verilog HDL을 이용한 로직게이트 설계 및 FPGA를 통한 검증 (NAND2, NOR2, XOR2)" 예비보고서
    등 많은 컴퓨터언어와는 다르게 하드웨어 언어이다. ... PLD(Programmabe Logic Device) 이며 특징은 I/O블록,0 내부에 소형 Logic Cell 배열되고 Logic Cell간에 배선, 구조적으로 Gate Array ... , 이용자가 직접프로그램 가능(Field Programmable Gate Array)이다.Basys3 Artix-7 FPGA Board표 [11-1] 논리 연산자 문법연산자의미&비트
    리포트 | 7페이지 | 1,500원 | 등록일 2019.03.18 | 수정일 2019.03.29
  • [컴퓨터과학과] 2019년 1학기 디지털논리회로 출석수업대체시험 핵심체크
    제1장 컴퓨터와 디지털 논리회로1. ... ) (3) 디지털 시스템의 장점① 편리성: 데이터가 숫자로 입 · 출력 ② 융통성: 실행순서의 조정이 가능 ③ 단순성: 시스템 설계가 단순 ④ 안정성: 0과 1로 유지되므로 ... 정확한 결과 도출 3) 디지털 시스템의 설계 및 논리회로(1) 디지털 시스템의 설계① 회로설계(circuit design) 단계: 능동소자와 수동소자를 연결시키는 단계② 논리설계(logic
    방송통신대 | 29페이지 | 5,500원 | 등록일 2019.05.12
  • 시립대 전전설2 [3주차 결과] 레포트
    전자전기컴퓨터설계실험 ⅡPost-report3주차: Logic Design using Verilog HDL1. Introduction (실험에 대한 소개)가. ... 실험을 통해 구하고자 하는 데이터와 이를 획득하기 위한 실험 순서(1) AND GATE 모델링첫번쨰, and게이트를 모델링을 해야한다. ... Materials & Methods (실험 장비 및 재료와 실험 방법)가.
    리포트 | 10페이지 | 2,000원 | 등록일 2019.07.29
  • Computer Organization And Architecture ch12 요약본
    Instruction Sets: Characteristics and Function프로세서의 연산은 실행하는 명령어들에 의해 결정, machine instruction, computer ... logic데이터 저장: Movement of data into or out of register and or memory locations데이터 이동: I/O제어: Test and ... 단어 내의 각 비트를 조작Logical shift: 단어의 비트들은 좌측 또는 우측으로 시프트 된다.
    리포트 | 6페이지 | 1,000원 | 등록일 2018.11.29
  • [영문essay] 경영분석/ 데이터 중심 세계의 사업 포트폴리오 최적화 및 의사 결정 관련 논문 과제물입니다.
    system to prove/disprove my logic. ... be satisfied by utilising ones to plot out my thought processes on a piece of paper before using a computer ... Johnson & Johnson (JNJ)2.3.5.
    논문 | 25페이지 | 5,000원 | 등록일 2022.02.08
  • [평생교육방법론] 평생교육방법을 사용한 수업계획서 작성
    이상, 이하, 미만, 초과, 같지 않다, AND, OR 중 하나는 반드시 들어가야 한다.- AND, OR 함수의 기능을 설명한다.- AND : 여러 가지 조건 다 만족하면 참이다.- ... 답1)- Value_if_false : 거짓값(답2)◆ IF함수 사용시 중요한 내용에 대해서 설명한다.- Logical_test에 조건식에는 반드시 등호가 들어간다. ... IF함수를 설명 할 수 있다.강의법유인물10◆ 엑셀2010를 열어 예제를 들어 if 함수에 대해서 설명한다.- Logical_test : 조건식- Value_if_true : 참값(
    리포트 | 5페이지 | 1,000원 | 등록일 2019.03.06 | 수정일 2019.10.25
  • 단국대 현대사회와정보보호 과제 12
    logical vulnerabilities present in both information security and related non security systems. ... media, and computer peripherals that allow investigators to solve the crime. ... reconstruction of evidence extracted from any element of computer systems, computer networks, computer
    리포트 | 2페이지 | 1,000원 | 등록일 2019.08.28 | 수정일 2019.08.29
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:46 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대