• 통큰쿠폰이벤트-통합
  • 통합검색(1,102)
  • 리포트(994)
  • 시험자료(47)
  • 방송통신대(29)
  • 자기소개서(20)
  • 서식(5)
  • 논문(4)
  • 이력서(3)

"computer and logic" 검색결과 161-180 / 1,102건

  • 한기대 컴퓨터공학입문 중간고사 내용 정리 / 이것만 외우면 A+ / 한국기술교육대학교 /
    ALU: Arithmetic Logic Unit)는 제어 장치가 해독한 명령의 지시에 따라 데이터를 비교, 판단, 연산하여 정보를 필요한 형태로 변환하거나 가공 처리하여 새로운 정보를 ... 시스템을 효율적으로 사용할 수 있다 / 단점 – 작업에 대한 응답시간이 길어서 작업 결과를 빠르게 확인할 수 없는 단점 & 하나의 작업을 수행하면서 시스템의 모든 자원을 사용하기 ... 없는 유후시간이 자주 발생(2) 다중 처리 시스템 – 두 개 이상의 프로세서로 구성되어 다중 작업을 구현하는 방식 / 단일 처리 시스템에 비해 많은 양의 작업을 동시에 처리 가능 &
    시험자료 | 10페이지 | 3,000원 | 등록일 2021.02.06
  • 디지털 논리회로의 응용 D/A, A/D Converter/반도체 기억장치
    Devices Conventional current version 10th edition/pearson/ pg 690-694Brian Holdsworth, Clive Woods/Logic ... 신호는 클럭 신호와 함께 7411에 들어가게 된다. 7411의 출력신호는 741으로 들어가게 된다. 7411의 출력신호는 741에 들어가게 된다. 741의 출력은 클럭과 함께 다시 and게이트인 ... 메모리의 한 종류로, 컴퓨터 내 데이터의 물리적 위치에 관계없이 거의 동일한 시간내에 데이터 항목을 읽거나 쓸 수 있도록 한다.
    리포트 | 11페이지 | 1,000원 | 등록일 2022.03.03
  • 전자전기컴퓨터설계실험2(전전설2) (2) HBE COMBO II SE VerilogHDL Lab
    교수전공 학부전자전기컴퓨터공학부학번성명제출 일자목 차Ⅰ. ... AssignmentProcess 창에서 User Contraints의 하위 항목인 FloorplanArea/IO/Logic(PlanAhead)를 실행한다.PlanAhead 프로그램에서 ... HDL전자공학에서 하드웨어 기술 언어(Hardware Description Language)는 전자회로를 정밀하게 기술하는 데 사용하는 컴퓨터 언어이다.
    리포트 | 28페이지 | 2,000원 | 등록일 2019.10.11 | 수정일 2021.04.29
  • [방통대 공통학과 1학년 컴퓨터의 이해 공통] 1. 아래의 문제에 대하여 A4 용지 2페이지 내외로 서술하라.
    logical unit)와 이들 사이의 데이터 전송을 담당하는 버스(bus)로 구성되어 있다.(2) 데스크탑 용 9세대 코어 프로세서인텔이 18년 10월 9일 데스크탑 용 9세대 ... 제어하는 제어장치(control unit), 프로그램이나 데이터를 저장할 수 있는 주기억장치(main memory), 산술과 논리 연산 등을 처리하는 연산논리장치(arithmetic and ... (가) 교재 1.7.1절 개인용 컴퓨터와 2.6절 컴퓨터산업의 미래를 읽고 최근 많이 사용되며 서로 다른 기능을 하는 것으로 생각되는 개인용 컴퓨터 또는 모바일 장치 3 대를 선정한다
    방송통신대 | 11페이지 | 4,500원 | 등록일 2020.03.17
  • 5개의 단락에서 자신이 공부해야할 단어 24개를 고르고, 각각 그 단어를 사용한 새로운 문장을 하나씩 영작한다. 총 24개의 문장을 영작한다.
    일이 일어났는지 알아챘다.2. sentimental : 감정적인, 감상적인- I love you for a sentimental reason but I like you for a logical ... A : 삼킬 수 없기 때문입니다.10. 1) The computer networks of the company have been infected with softwareviruses ... A : We can define measurable goals and introduce active management and community involvement to reduce
    방송통신대 | 11페이지 | 3,000원 | 등록일 2021.04.04
  • 레코딩 실습 ) 곡의 믹스에 대해서DAW를 사용해서 하는 믹스
    디지털 시대의 도래와 함께 기존에는 고가의 장비와 스튜디오 공간이 필수적으로 여겨졌던 곡의 믹싱 작업이 이제는 DAW라는 컴퓨터 작곡 프로그램 소프트웨어를 통해 누구나 원하는 곳 어디서든 ... 결론 DAW를 통해 시간과 공간에 구애받지 않고 공동의 음악 작업이 가능해졌으며, 로직(logic)이나 큐베이스(Cubase)와 같은 프로그램을 통해 보다 손쉽게 곡의 믹싱 작업을 ... ctcd=F&nNewsNumb=202309100054 녹음부터 가공까지, 나만의 ‘사운드’ 연출하기, 인벤, 정재훈 기자, 2018.10.18 https://www.inven.co.kr
    리포트 | 5페이지 | 5,000원 | 등록일 2024.08.21
  • 산술논리연산회로 실험보고서
    비트의 흐름과, 그 안에서 수행된 연산들은 게이트 회로에 의해 통제되는데, 게이트 회로는 다시 각 연산코드에 대해 특별한 알고리즘이나 순서를 사용하는 순차 논리 장치(sequence logic ... 기초이론ALU는 중앙처리장치의 일부로서 컴퓨터 명령어 내에 있는 연산자들에 대해 연산과 논리동작을 담당한다. ... 실험기자재 및 부품4.1 사용기기- 오실로스코프- 디지털 멀티미터- 함수발생기4.2 사용부품- AND 게이트- OR 게이트- XOR 게이트- 인버터- 전가산기5.
    리포트 | 9페이지 | 1,000원 | 등록일 2020.04.26
  • 플립플롭회로는 S-R플립플롭, JK플립플롭, T플립플롭, D플립플롭들이 있으며, S-R플립플롭부터 변형 및 개선된 회로입니다. 순서논리회로의 플립플롭회로의 종류와 각 회로의 진리표를 작성해 봅시다.
    과목명: 컴퓨터구조과제주제: 플립플롭회로는 S-R플립플롭, JK플립플롭, T플립플롭, D플립플롭들이 있으며, S-R플립플롭부터 변형 및 개선된 회로입니다. ... 정리하자면, 순서 논리회로(sequential logic circuit)는 조합 논리회로와 플립플롭으로 구성한 논리회로이며 출력이 입력과 전 상태의 출력에 의해 결정되는 회로이다. ... 같다.JKQ(t+1)00Not Change01010111Q(t)'JK 플립플롭은 SR에서 정의되지 않았던 11을 NOT 기능(=Toggle)으로 구현해 주었다는 차이점이 있다. 3입력 AND
    리포트 | 6페이지 | 2,500원 | 등록일 2024.07.21
  • [최종합격]연세대학교 컴퓨터대학원 학업및연구계획서
    실습에서 AND, OR Logic gate의 delay를 비교했을 때, AND gate는 rise time과 fall time delay가 1.4배 차이가 났지만, OR 게이트의 fall ... 현재는 인간을 위해 컴퓨터만이 할 수 있는 일, 안전을 지키기 위해 컴퓨터가 꼭 해야만 하는 일이 가장 먼저 구현되어야 한다고 생각합니다. 위험 니다. ... 이후 올해 9월부터는 자대 Vision&Learning Lab의 학부 연구생으로 들어가서 Multi-modal learning, Transformer지만, 빠르게 추진하고 배워 성장해
    자기소개서 | 4페이지 | 7,000원 | 등록일 2024.02.16 | 수정일 2024.02.19
  • 자동제어 과제3
    high pressure최종 logic equation을 작성하고 이를 토대로 AND, OR gate를 사용하여 디지털 로직회로를 그려라7. ... 대형 빌딩의 냉난방, 조명, 방범등 관리기능을 관제실에 설치된 전용 컴퓨터로 조절하는 시스템.두 시스템 의 발전으로 인해 설비의 자동제어가 더욱 원할히 이루어 질 수 있게 되었고, ... 장점은 비교적 간단하고 염가인 반면, 단점은 노이즈, 주변온도 등에 민감하고 한번 제작된 후 변경이 어렵다는 것.- 디지털제어 : 제어기로서 디지털 컴퓨터를 사용하는 제어를 말한다.
    리포트 | 5페이지 | 6,000원 | 등록일 2022.01.14
  • 부산대 기계공학응용실험 PLC응용실험 보고서 A+
    입력과 출력사이의 관계와 동작을 정해주는 로직이나 가동순서는 PC를 이용하여 컴퓨터프로그래밍으로 수행할 수도 있으며, ATmega128과 같은 마이크로컴퓨터를 이용하여 설계할 수도 ... PLC는 이러한 컴퓨터나 제어기 역할을 수행하는 장치로 컴퓨터제어에 대한 기본지식이 없는 현장, 공장의 작업자가 손쉽게 필요한 제어로직을 설계할 수 있도록 제작된 제어기의 일종이다.일반적으로 ... 컨베이어 시스템에서 물체의 특성에 따라 센서의 입력을 달리하여 입력상태에 따라 공압밸브를 이용해 물체를 분류하는 실험을 PLC 로직 프로그램인 래더 로직 다이어그램(ladder logic
    리포트 | 10페이지 | 2,000원 | 등록일 2020.06.08
  • 컴퓨터과학개론 , 다음 8문제 중에서 반드시 5개만을 선택해서 정리하여 제출하시오.
    AND, OR, NOT의 기본 불 대수를 수행하며, 이 기본 불 대수들의 결합으로 복합적인 논리 기능을 수행한다. ... 컴퓨터과학개론※ 다음 8문제 중에서 반드시 5개만을 선택해서 정리하여 제출하시오.목차2. 이진 트리, 완전 이진 트리, 포화 이진 트리를 설명하고 비교하시오.3. ... 또 순차 논리회로(Sequential Logic Circuit)는 상태 값을 저장해두고 그 상태 값이 다시 입력으로 들어가서 다음 상태 값과 출력을 결정하는 회로다.
    방송통신대 | 6페이지 | 5,000원 | 등록일 2020.11.17
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2, 3주차, Lab03, Introduction to Verilog HDL, 자세한 설명, 결과레포트
    전자전기컴퓨터공학부 설계 및 실험2Post Lab-03Introduction to Verilog HDL실 험 날 짜학 번이 름목차1. ... test bench란 설계한 logic을 simulation할 때 simulation을 원활하게 하기 위해서 작성하는 simulation만을 위한 별도의 code이다.▲ Test Bench ... AND게이트는 두 입력 모두 1일때만 1일 출력된다.
    리포트 | 15페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • [수치해석] A Linear Vibration Model / 선형 진동이론
    문제나 작업의 범위를 결정하고 분석하며, 그 해석 방법을 명확히 하기 위해서 필요한 작업과 처리의 순서를 통일된 기호와 도형을 사용하여 도식적으로 표시한 것.프로그램에 관해서는 논리(logic ... ];end75: xmin;77: rx=r([1 1 2]); ry=[.5,-.5,-.5]; close;78:79: % Plot the motion80: for j=1:nm81: % Compute ... and scale the applied force82: f=f1*cos(w*t(j))+f2*sin(w*t(j));83: f=.5*f; fa=abs(f); sf=sign(f);84:
    리포트 | 10페이지 | 4,000원 | 등록일 2022.06.12
  • 영문 사업 제안서 English Business Proposal 4개 (게임회사, 앱개발회사, 웹사이트 제작회사)
    The team will also work on a logical flow of the merchandise page, a behind the scenes blog and photo ... overall branding.ObjectiveThis partnership would sub-contract the design portion of the website to 000.Compute ... & DesignThis company will provide compensation for design services and will handle all direct client
    서식 | 6페이지 | 8,000원 | 등록일 2020.08.20 | 수정일 2023.11.29
  • OSI 7 계층 참조 모델에 대한 정의 및 계층구조, 계층별 기능에 대하여 기술하시기 바랍니다.
    TCP/IP의 포트번호가 대표적인 예다.② 분할과 재조립(Segmentation and Reass된다. ... 이 계층에 속하는 네트워크 연결 장비로는 브리지, 지능형 허브 등을 들 수 있다.데이터 링크 계층의 여러 기능은 대개 MAC(Media Access Control)와 LLC(Logical ... 결과적으로 스음- 전송방식은 단방향 통신을 기본으로 하며 반이중, 전이중 통신도 가능- 매 정보마다 수신확인을 수행하는 블록전송방식(stop-and-wait ARQ)사용② BSC (
    리포트 | 11페이지 | 8,000원 | 등록일 2020.09.21
  • 교육심리학 ) 1. 가드너(Gardner)의 다중지능이론 설명, 그 교육적 시사점을 논하시오.(15점 만점) 2. 매슬로우(Maslow)의 동기위계설에 대해 설명, 그 교육적 시사점을 논하시오.(15점 만점)
    예를 들어 아인슈타인이나 빌 게이츠와 같이 과학이나 컴퓨터 분야에서 인류의 발전을 이끌어낸 인물들이 이러한 지능을 타고났다고 할 수 있다.다음으로는 공간(Spatial) 지능이 있다 ... 지칭하는 “g” 이외에도 다른 여러 종류의 지능들이 존재한다고 주장한다.하워드 가드너는 다중지능이론에서 총 8가지의 지능 종어나 죠앤 롤링 등의 유명 작가들이다.다음으로는 논리 수학(Logical-Mathmatical ... Personality and Individual Differences, 45(5), 412-413.McCall, R. B. (1977년).
    방송통신대 | 8페이지 | 5,000원 | 등록일 2022.01.25 | 수정일 2024.07.30
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 예비레포트 3주차 Lab03 Introduction to Verilog HDL
    전자전기컴퓨터공학부 설계 및 실험2Pre La-03Introduction to Verilog HDL실 험 날 짜학 번이 름목차1. ... , XOR, OR 등을 이용하여 Modeling하는 과정이다.위 회로도는 1-bit full adder의 logic diagram이다. ... 통한 and[실습 3]: 이번에는 다음의 행위수준 모델링을 이용하여 2-input AND 게이트 설계를 진행하시오.(1) Verilog HDL와 simulation행위 수준 모델링을
    리포트 | 17페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 예비보고서3
    Logic gate를 이용하여 반가산기, 전가산기, 반감산기, 전감산기를 구성할 수 있다.3. ... Circuit)- IC 7404- IC 7486- IC 7408- IC 7432pin mapIC gateTruth TableIC 7404(NOT)IC 7486(XOR)IC 7408(AND ... 불 대수식과 드 모르간 법칙을 이용하여 다양한 회로를 고안할 수 있다.2) 실험이론- 논리 회로에서의 연산: 디지털 컴퓨터들은 다양한 정보처리 작업을 수행한다.
    리포트 | 7페이지 | 1,000원 | 등록일 2021.10.24
  • KT Cloud IDC 인프라 운용 최종 합격 자기소개서(자소서)
    먼저 고압가스 Manifold 설치, 배관시공 P&ID 도면 분석을 통해 유해요인에 대한 JSA 위험성 평가를 시행하였습니다. ... KT Cloud에 지원한 이유는, 최첨단의 Computing IoT Network의 DX 솔루션을 제공하여 디지털 산업 발전을 위해 고객과 상생하고 있기 때문입니다.IDC 인프라 운용에 ... 이때 대부분의 소방방재설비는 기존의 Hardwire 형태로 구성되어, 결선이 복잡하고 점검에 어려움이 있었습니다 대신 IED Logic 구성으로 SCADA와 연계하여 전력소방 계통의
    자기소개서 | 7페이지 | 3,000원 | 등록일 2023.02.13
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:48 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대