• 통큰쿠폰이벤트-통합
  • 통합검색(4,296)
  • 리포트(3,974)
  • 시험자료(215)
  • 논문(47)
  • 자기소개서(27)
  • 방송통신대(21)
  • 이력서(8)
  • 서식(4)

"counters" 검색결과 201-220 / 4,296건

  • 부산대 어드벤처디자인 실험11 A+ 예비보고서(계수기)
    비트열을 모두 사용하기 때문에 계수기 본체의 게이트 규모가 작습니다.5) 언필드코드 계수기(unfilled code counter)비트열 편성의 일부만을 사용하는 것입니다. ... 세는 수만큼 플립플롭이 필요하기 때문에 계수기 본체의 회로규모가 가장 커집니다.4) 필드코드 계수기(filled code counter)비트열의 편성을 모두 사용하는 것입니다. ... 클럭펄스의 수를 이진수로 표시했을 경우에 최하위 자리수가 되고 두 번째 소자는 두 번째 자리수가 되듯이 이진수로 출력을 나타낼 수 있습니다.2) 비가중 계수기(nonweighting counter
    리포트 | 5페이지 | 1,500원 | 등록일 2022.04.09
  • 컴퓨터공학과 it직군 개발자 대기업 면접 질문 리스트
    내의 주소 공간이나 자원들을 같은 프로세스 내의 스레드끼리 공유하면서 실행프로세스와 쓰레드 구조프로세스프로세스 제어블록 (PCB)pid, process state, program counter ... , cpu scheduling 정보프로세스 주소 공간스레드 1, 2스레드 제어 블록사용자 스택커널 스택스레드는 프로세스 내에서 각각 Stack만 따로 할당받고, Code, Data, ... (Inter Process Communication)커널 영역에서 제공PIPE, 공유메모리, 소켓 등멀티 프로세싱 / 멀티 쓰레딩 경험 - OS Assignment2k-means clustering을
    자기소개서 | 5페이지 | 8,000원 | 등록일 2020.12.20
  • 논리회로실험_신호등(사거리) 레포트
    각각 4sec counter, 2sec counter의 리셋값으로 연결시켜 각각의 counter를 작동하게 만들어서 T7,T4,T2가 Traffic circuit 플리플롭의 J,K값에 ... NS_G 값과 V값을 AND게이트를 통과시킨 출력값을 이용하여 7sec counter의 리셋값으로 연결시켜 7sec counter를 작동하게 만들었고, EW_G, NS_Y, EW_Y값을 ... 결론이 실험을 시작하는데 있어 우선 조건에 맞는 counter를 만들고, Logic circuit state table를dboard에 회로를 구현하는 과정에서 많은 어려움이 있었다.
    리포트 | 17페이지 | 3,000원 | 등록일 2022.05.31
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab08(예비) / 2021년도(대면) / A+
    [실습 2] Design counter with PiezoSource codeTestbenchPIN testbench 시뮬레이션 결과c. ... [실습 1] 4-bit up counter의 출력 값을 single FND에 표시하시오.Source codeTestbenchPIN testbench 시뮬레이션 결과b. ... [실습 6] 4-bit up-down counter의 출력 값을 FND Array에 표시하시오.Source codeTestbenchPin testbench 시뮬레이션 결과4.
    리포트 | 12페이지 | 2,000원 | 등록일 2022.07.16
  • [A+] 열교환기 총괄 열전달 계수 결과보고서-화학공학실험2
    하지만 보다 정확한 실험을 위해서는 같은 종류의 열교환기를 두고 재질만을 다르게 하여 parallel flow 와 counter flow를 흘려준 뒤 실험을 하여 그 결과를 비교해야
    리포트 | 4페이지 | 2,000원 | 등록일 2023.12.30
  • 16진 동기 및 비동기 카운터 설계
    동기식 계수기는 계수의 방향에 따라 올려세기 계수기(up counter)와 내려세기 계수기(down counter) 등으로 구별할 수 있습니다. ... 그 외에 쉬프트 레지스터도 일종의 계수기로 볼 수 있는데, 귀환 반복한다 하여 고리계수기(ring counter)라고도 부르며 그 변형에 Johnson 계수기가 있습니다. 16진 동기식 ... 이론1) 16진 비동기 카운터비동기식 카운터는 첫 단의 플립플롭에 클럭 신호가 인가되어 첫 단 플립플롭의 출력이 다음 단의 플립플롭을 트리거 시키도록 되어있는 회로로, ripple counter라고도
    리포트 | 3페이지 | 1,500원 | 등록일 2020.10.10
  • [A+]리튬이온 이차전지 제작 결과레포트
    이에 대해서 알아본 결과 이번 실험의 경우에서는 Li Metal이 counter electrode의 역할과 reference electrode의 역할을 동시에 수행한다는 것을 알게 ... Li Metal이 동시에 2가지의 역할(counter electrode, reference electrode)을 수행하다 보니 working electrode인 Graphite에 의한 ... 이번 실험에서는 내가 관심 있는 부분이 Graphite이므로 Graphite가 working electorde가 되고, 그러면 자연스레 Li Metal이 counter electrode가
    리포트 | 7페이지 | 1,000원 | 등록일 2023.03.16
  • (2023) Nclex 엔클렉스 약물 총정리
    고혈압약betaxolol (녹내장약, 부작용: BP 모니터링)diltiazem (협심증)metoprolol (저혈당 완화)valsartan (over-the-counter high ... sodium antacids, appetite suppressants, cold and sinus preparations 금지)lisinopril (마른 기침, 침대에서 천천히 일어나기 ... , nicardipine, enalapril, atenolol, captopril* ACE: pril, neutropenia 위험 -> 감염 위험 보고고지혈증약복용 전 간기능 모니터링
    리포트 | 18페이지 | 12,000원 | 등록일 2024.04.19
  • 오헨리 원작 20년 후 단편 소설 영한 대역, 어휘
    Now and thenyou might see the lights of a cigar store or of an allnight lunch counter; but the majority ... The time was barely10 o'clock at night, but chilly gusts of wind with a tasteof rain in them had well
    리포트 | 7페이지 | 1,000원 | 등록일 2023.01.04
  • [부산대 어드벤처 디자인] 11장 비동기 및 동기카운터의 설계 예비보고서
    실험목적비동기식의 Count-Up 계수기, Count-Down 계수기, 십진계수기 (decade counter) 등의 동작원리 를 이해한다.
    리포트 | 5페이지 | 2,000원 | 등록일 2024.03.15 | 수정일 2024.04.15
  • 서강대학교 마이크로프로세서 9주차 실험
    .● 타이머의 clock에 스위치 신호를 연결하여 counter로 사용하는 방법을 이해한다.3. ... 즉 외부 신호 TI2가 counter register를 trigger 하게 된다. ... 이때 counting된 결과 자체를 사용한다면 counter로서 기능한 것으로 볼 수 있다.일반적인 임베디드 프로세서에서 사용되는 타이머의 구조는 다음과 같다.Timer는 clock으로
    리포트 | 27페이지 | 3,000원 | 등록일 2021.06.30 | 수정일 2022.04.14
  • 이진 계수기 (Binanry Counter) 결과보고서 A+ 레포트
    ∘ 오차 및 토의- 이번 실험에서 이진 계수기의 작동 원리와 그 특성에 대하여 실험하였다. Count up과 Count Down은 서로 반대의 출력을 보였다. Count up과 동기형 계수기는 결과는 같다. 그러나 1번실험에서 직전 FF의 출력이 다음 FF의 CLK로 ..
    리포트 | 4페이지 | 2,000원 | 등록일 2023.11.15
  • 디지털 회로 실험 및 설계 - 74LS192를 이용한 Up-Down Counter 실험 1
    예를 들어 3번이나 8번 핀에 전원을 인가하고, 4번과 6번을 접지하면, ‘b'와 ’c‘의 마디가 on 되어 10진수 ’1‘이 표시된다.?
    리포트 | 15페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 카운터의 응용으로 디지털시계의 회로도를 완성해가는 과정을 설명하시오. 4가지 기본형 레지스터의 분류에속하는 IC들을 정리하시오.
    카운터(counter) 플립-플롭(flip-flop)의 큰 응용으로서 입력되는 펄스의 수를 세는 counter(계수기)가 있는데 이는 모든 디지털 계측기기와 디지털 시스템에 필수적이라
    리포트 | 6페이지 | 3,000원 | 등록일 2021.06.02
  • A+/그람 염색 결과레포트
    1차 염색(initial staining)-수세(washing)-색소 고정(dye fixing)-수세(washing)-탈색(decolorization)-수세(washing)-후염색(counter ... 이 염색법으로 세균은 crystal violet이 균체내에 염색되는 그람양성균과 알코올로 탈색되는 그람음성균의 2군으로 나뉜다. ... 그람양성균은 염색된 세균이 알 코올 같은 탈색제로 탈색하여도 crystal violet 또는 에틸 알코올-요오드의 복합물이 세포 속에 그 대로 남아 있게 되고, 반대로 그람음성균은
    리포트 | 5페이지 | 1,500원 | 등록일 2022.12.27
  • 아날로그 및 디지털 회로 설계실습 예비보고서 13주차
    gate 74HC02 3개AND gate 74HC08 3개OR gate 74HC32 3개7-Segment 3개BCD Decoder 74LS47 3개BCD 카운터 74HC192P 3개counter
    리포트 | 7페이지 | 1,000원 | 등록일 2024.07.05
  • 나의 장점과 단점 영작
    To counter this, I have implemented a daily journaling practice. ... This moral compass guides my decisions and actions, contributing to a sense of purpose and direction ... Through introspection and analysis, I confront the root causes of my wavering willpower, allowing me
    서식 | 2페이지 | 500원 | 등록일 2024.01.30
  • '포항공대' 물리실험, X선의 브래그 회절을 이용한 컴프턴 산란 탐구 및 컴프턴 파장 측정
    Task 3에서는 aluminium absorber의 위치를 GM counter 바로 앞에 두고, GM counter와 이루는 각의 크기가 , , 때의 펄스를 측정한다. ... Task 2에서는 aluminium absorber의 위치를 acrylic glass 앞에 두고 GM counter와 이루는 각의 크기가 , , 때의 펄스를 측정한다. ... 검출기는 다가오는 광자를검출하지 못하기 때문에(검출기의 dead time이 있기 때문에) 측정한 n에 대한 보정이 있어야 한다. n에대한 보정식은 인데 본 실험에서 사용한 GM counter
    리포트 | 6페이지 | 2,000원 | 등록일 2020.06.03
  • 중앙대학교 아날로그및디지털회로설계실습 설계실습 12. Stopwatch 설계 A+ 예비보고서
    AND gate 74HC08 : 3개 OR gate 74HC32 : 3개 7-Segment : 3개 BCD Decoder 74LS47 : 3개 BCD 카운터 74HC192P : 3개 counter
    리포트 | 3페이지 | 1,000원 | 등록일 2022.09.15 | 수정일 2022.09.22
  • [에리카A+] 마이크로프로세서응용 Lab8 Assembler Language Programming 2
    AH 의 값을 저장하는 변수 (R17)3. button 의 값을 저장하는 변수 (R18)4. pre_button 의 값을 저장하는 변수 (R19)5. int_counter 의 값을 ... addressing.defAH= r17.defbutton= r18 ; 사용할 변수들을 특정.defpre_button= r19.defint_counter = r20.defint_sec ... all interrupt flagsOUTTIFR,ALSEI; global interrupt enableLDIint_counter, 0 ; 타이머의 각 구성성분 변수 초기화LDIint_sec
    리포트 | 13페이지 | 1,500원 | 등록일 2020.04.02 | 수정일 2020.08.26
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:21 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대