• 통큰쿠폰이벤트-통합
  • 통합검색(8,405)
  • 리포트(7,850)
  • 시험자료(293)
  • 자기소개서(83)
  • 방송통신대(72)
  • 논문(64)
  • 서식(34)
  • ppt테마(6)
  • 이력서(3)

"input과 output" 검색결과 201-220 / 8,405건

  • 디지털 시계 콤보(combo2) 소스
    module clock(input clk,input time_set,input inc_hr,input inc_min,output reg [9:0] dot_col,output reg ... [13:0] dot_dis,output reg [7:0] apm,output reg [7:0] seg_com, seg_data);parameter zero = 0;parameter
    리포트 | 13페이지 | 1,000원 | 등록일 2020.04.22 | 수정일 2020.12.24
  • 디지털회로 보고서
    Ring Counter에서 나오는 output과 연산하여input을 넣어주었다. ... output7-segment input100111001011Ring Counter의 Output을 A라 하고 190chip의 output을 B라 하면 위 truth table을이용한 ... 제약 요소 분석- 경제성 : 최소한의 게이트 / 칩의 사용 등을 고려할 것- 안정성 : 각 칩의 ground 및 output overloading, floating inputs 등의
    리포트 | 5페이지 | 1,500원 | 등록일 2019.09.29
  • 전자회로실험 A+ 14주차 결과보고서(Current Mirror)
    Calculate the current transfer ratio of the input ii and output current io.3. ... Calculate the current transfer ratio of input current in and output current io1, io23. ... Construct the basic current mirror circuit with two terminal outputs shown in Figure, using the CD4007
    리포트 | 8페이지 | 2,000원 | 등록일 2023.07.02 | 수정일 2023.07.25
  • 연세대 전기전자공학부 20-1학기 기초아날로그실험 3주차 예비레포트
    the noninverting input terminals but also for the inverting input terminals.The output voltage calculation ... It is a circuit that obtains output voltage through the integral operation of input signal. ... The input current is integrated in feedback capacitor.The sensor generates an output signal that has
    리포트 | 38페이지 | 1,500원 | 등록일 2021.03.13
  • 조합회로와 순차회로의 개념과 종류를 나열하고 설명하시오
    따라서 기억요소의 현재 상태와 외부의 input으로부터 output이 결정된다. 순차회로는 입력, 출력, 내부 상태의 시간에 따른 시퀀스에 의해 결정된다. ... 조합에 의해 output이 결정된다. ... 그러나 두 논리회로 차이점은 출력값이 입력 신호에만 의존하는가, 내부 상태값에도 의존하는가에 있다.조합회로는 여러개의 논리 게이트들로 이루어져 있고 이 논리 게이트들은 현재 input
    리포트 | 1페이지 | 1,000원 | 등록일 2022.09.19
  • [VHDL] moore machine을 사용해 '010' 탐지하는 프로그램 (sequence detector) 구현
    Input은 x, clock, reset 이고 , output 은 z 이다 .State Diagram왼쪽은 본 과제에서 사용하는 Moore machine의 state diagram ... 시기에 output z 의 값이 1 이 되어야한다 . ... IntroductionLab2는 Moore machine 을 이용해 ‘ 을 탐지하는 문제이다 . 1 비트 숫자 x를 input 으로 입력하여 ‘1’, ‘0’, ‘ 이 순서대로 들어오는
    리포트 | 12페이지 | 4,000원 | 등록일 2020.10.08
  • 아동간호학 간호사정
    /16 input 1360 output 96711/15 input 1460 output 83511/14 input 1497 output 79311/13 input 1620 output ... 1370 output 89911/19 input 1217.5 output 80611/18 input 1270 output 96811/17 input 1462 output 86111 ... 106711/12 input 1370 output 68111/04 us kidney, adrenal glandright renal length 는 7cm, left renal length
    리포트 | 3페이지 | 1,000원 | 등록일 2019.10.20
  • 전자회로실험 클리퍼, 클램퍼 회로 실험 (PSpice 첨부) 레포트
    실제 실험 결과① 그림 4-4 실험 (전자회로실험 P.28)결과 설명 : 채널1에는 OUTPUT, 채널2에는 INPUT 파라미터입니다.VOLT/DIV는 멀티미터로 측정한 결과, 채널1과 ... 채널2 각각 2.1V와 4.3V로 측정되었으며, 다이오드가 순방향으로 연결되어 있어, 특정 레벨 이상의 파형이 잘려진 모습입니다.결과 설명 : 채널1이 OUTPUT, 채널2에는 INPUT ... 레벨 이상의 파형이 잘려진 모습입니다.결과 설명 : 채널1에는 OUTPUT, 채널2에는 INPUT 파라미터입니다.VOLT/DIV는 멀티미터로 측정한 결과, 채널1과 채널2 각각 1.5V와
    리포트 | 20페이지 | 3,000원 | 등록일 2022.11.20
  • 조선대 간호학개론 기말 퀴즈 10문제
    , output, and feedback ... whose parts are in dynamic interaction4) Open system – system is open with continuous flow between input
    시험자료 | 2페이지 | 1,500원 | 등록일 2024.04.16
  • 2019통신공학기말고사문제 수정 할인자료
    (a) Write the equations of the signal plus noise at the input of the demodulator and at the output of ... thedemodulator, respectively. [20](b) Determine the channel SNR, the output SNR and the figure-of the
    시험자료 | 2페이지 | 2,000원 (10%↓) 1800원 | 등록일 2022.04.07
  • 연세대학교 기초디지털실험 5주차 예비레포트 (finite state machine)
    The combinational logic calculates the output and following state. ... The state diagram is shown in pic 2 and it shows change of state and output. ... It shows that changes are determined by the current state and input.
    리포트 | 17페이지 | 2,500원 | 등록일 2021.08.31 | 수정일 2022.12.15
  • 인하대학교 기초실험2 능동필터회로 보고서(결보+예보+엑셀파일)
    전압과 output 전압Graph 1 주파수에 따른 output 전압 ... Figure 2 회로 구성(2) 인풋전압을 2V p-p로 고정한 후 주파수에 따른 아웃풋을 오실로스코프를 이용하여 측정해본다.Table 1 주파수에 따른 input
    리포트 | 3페이지 | 2,000원 | 등록일 2022.09.09
  • 화공양론 [제6-7장 물질수지 입문] [제8장 물리적 공정의 물질수지] 강의자료와 문제풀이
    유출량 (input) = (output)6. ... 연속식 반응조 흐름형태 공정 (process) 의 종류 Process (Input) (Output) Process Process (Input) (product) System boundary ... 물질수지 System boundary System (Input) (Output) 물질의 기본이 되는 질량은 질량불변의 법칙에 의하여 변하지 않기 때문에 정량적으로 해석 * System
    시험자료 | 32페이지 | 2,000원 | 등록일 2022.12.26
  • 아동간호 신증후군 SOAP
    14:00 : 8시간 I/O 측정함(input : 884, output : 560)? ... 16:00 : 4시간 I/O 측정함(input : 180(oral 100, fluid 80), output : 93(urine))? ... 18:00 : 4시간 I/O 측정함(input : 460(oral 300, fluid 160), output : 337(urine))- normal?
    리포트 | 4페이지 | 1,000원 | 등록일 2020.10.27
  • [고려대학교 디지털시스템실험] - 모든 주차 A+ 결과보고서 총집합
    실험결과해당 주차에서는 Verilog의 기본적인 문법 및 설계 방식에 대해 알아본 후, 다음과 같은 그림의 회로를 설계해보는 실험을 수행하였다. input에 대한 t1, t2, result의 ... 나타낸 것이며, 예상 output은 각각 0 / 1 / 1 / 1 이며, 실제 웨이브를 통해 설계한대로 되었음을 알 수 있었다. ... 나타낸 것이며, 예상 output은 각각 1 / 1/ 0 이며, 실제 웨이브를 통해 설계한대로 되었음을 알 수 있었다.토의실험을 마친 후에, 연습을 위해 새로운 설계도를 하나 더
    리포트 | 45페이지 | 2,500원 | 등록일 2022.12.24 | 수정일 2023.01.02
  • 서강대학교 인공지능대학원 인공지능학과 연구계획서
    인공지능 객체 검출·판별·추적 성능 향상을 위한 공간정보 기반 OUTPUT 연구, 인류학적 뼈 랜드마크에 대한 이해도를 높이기 위한 전신 박피 볼륨 모델 연구, 보조 텍스트 정보로 ... 구축 방법 연구 등을 하고 싶습니다.저는 또한 상황별 스타일 전송을 통한 의료 영상 처리 연구, 유체 시뮬레이션을 이용한 비유체 표현 기법 연구, 영상분석 신뢰도 개선을 위한 영상 INPUT과
    자기소개서 | 1페이지 | 3,800원 | 등록일 2024.09.07
  • 서강대학교 21년도 디지털논리회로실험 4주차 결과레포트 (A+자료) - Multiplexer, Tri-State, Exclusive-OR gate
    이용해 2b개의 input 신호들 중 하나를 output과 연결하는 동작을 하게 된다. ... 이 경우 소자에는 Hi-Z를 내보낼지 안 내보낼지를 결정하는 output enable (output disable) 입력 핀이 필요하게 된다.그림3그림3과 같은 소자의 경우, output ... disable이 low일 때는 그냥 buffer로서 작동하고, output disable이 high일 때는 입력에 관계없이 출력이 Hi-Z상태로 나오게 된다.그림4 – 공통의 output
    리포트 | 35페이지 | 2,000원 | 등록일 2022.09.18
  • 디집적, 디지털집적회로설계 실습과제 11주차 인하대
    OR gate와 NAND gate의 output을 AND gate의 input으로 입력해주었다.그림13은 그림11의 XOR gate layout에서 spice 시뮬레이션을 위해 gate의 ... 는 측정한 와 의 평균으로 구한다. , 의 경우도 동일한 논리로 측정하되 output이 20%에서 80%로 상승하는 구간, output이 80%에서 20%로 하강하는 구간을 기준으로 ... 의 경우 , 의 평균으로 구하고 의 경우 output값이 20% -> 스터가 사용되어 총 16개의 트랜지스터가 사용되었다.
    리포트 | 10페이지 | 1,500원 | 등록일 2021.08.31
  • 한양대 MUX & DEMUX
    output을 확인해본다. ... Select 신호에 따라 Input 값 중 하나를 고르는 회로로, MUX의 크기는 입력선과 출력선의 개수에 따라 결정되며, 2^N개의 입력 중 하나를 선택해 그 값을 그대로 출력하는 ... 또한, 74LS157 소자와 7-segment를 사용해 4bit Multiplexer의 output을 확인해본다. 74LS155 소자를 이용해 1X4 DEMUX 와 1X8 DEMUX의
    리포트 | 4페이지 | 2,000원 | 등록일 2023.03.21
  • 6주차 결과보고서- 디지털 시스템 설계 및 실험 결과보고서
    Four-Bit Registermodule fourbitregister(clk,in,out);input [3:0]in;input clk;output [3:0]out;wire [3:0 ... D Flipflopmodule Dflipflop(clk,D,Q,NQ);input clk, D;output Q,NQ;wire Q1,Q2,NQ1,NQ2,w;SRlatch sr1(NQ2, ... J-K Flipflopmodule JKflipflop(clk,J,K,Q,NQ);input J,K,clk;output Q,NQ;wire w1,w2,w3;and and1(w1,NQ,J)
    리포트 | 4페이지 | 1,000원 | 등록일 2020.07.29
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:38 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대