• 파일시티 이벤트
  • LF몰 이벤트
  • 유니스터디 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(5,960)
  • 리포트(5,385)
  • 자기소개서(315)
  • 시험자료(110)
  • 방송통신대(107)
  • 논문(36)
  • 서식(4)
  • ppt테마(3)

"계산시뮬레이션" 검색결과 221-240 / 5,960건

  • 교류및전자회로실험 실험8 공진회로 예비보고서
    문항(2)의 회로도와 주파수 변화에 따른 각 소자 양단전압 파형, 이때 실효값을 계산한 매트랩코드회로도주파수의 변화에 따른 각 소자 양단전압 변화 파형시뮬레이션 값을 기준으로 실효값을 ... 예상 회로도전원전압과 인덕터 양단전압 예상파형: 예상 시뮬레이션에서 주파수 변화에 따른 전원전압과 인덕터 양단전압을 나타냈을 때 공진주파수인 약 15915Hz 부근에서 인덕터의 양단전압이 ... 측정시 V_L_rms: ', num2str(V_L_rms), '[V]']);disp(['멀티미터로 측정시 V_C_rms: ', num2str(V_C_rms), '[V]']);: 시뮬레이션으로
    리포트 | 42페이지 | 1,000원 | 등록일 2024.06.22
  • 인공지능 교양에서 제출한 자료입니다. 기계학습의 응용 사례, 알파고 시스템과 머신러닝, 로봇 시스템에서 인공지능 기술 적용사례, 컨볼루션 신경망, 인공지능이 융합된 미래사회의 자료가 있습니다.
    이후 시뮬레이션의 결과를 종합하여 확장 선택한 경로에서 가치를 파악(역전파)하고 승산이 있는 가능성을 다시 계산한다.특히 알파고는 확장이 된 후에 가치를 평가하는 시뮬레이션 과정에서 ... 고속 시뮬레이션 방식은 빠르게 다음 수를 예측하여 게임 종료시까지 시뮬레이션 하는 방법으로, 딥러닝 기반의 정책보단 정확도가 떨어지는 면이 있지만 계산 속도는 약 150배 정도 빠르다.알파고와 ... 계산 속도가 빠르기에 여러 번 수행할 수 있지만 예측 착수가 적정한지는 의문이 있다.
    리포트 | 3페이지 | 2,000원 | 등록일 2022.05.09
  • 충북대 전자회로실험 실험 9 MOSFET 공통 게이트 및 공통 드레인 증폭기 결과
    이론값 계산을 할 때I _{D}를 측정값인 1.7743mA를 대입하여 계산하였는데 공식으로 계산한I _{D} =200 mu A를 대입하면A _{v} =2.516,R _{"in"} = ... 측정값이 시뮬레이션처럼 10배 작았다면 측정값과 이론값의 전압 이득의 차는 작았을 것이다.실험 2에서도 RG2를 구할 때 시뮬레이션을 사용해서 구했는데 vs가 6v가 되는 RG2를 ... 이번 실험에서 VG2를 시험에서 구하지 못하여 시뮬레이션으로 구한 RG2를 사용했다.
    리포트 | 11페이지 | 2,000원 | 등록일 2022.03.03 | 수정일 2022.03.08
  • 공통게이트 및 공통드레인 증폭회로
    관찰되었음에도 불구하고 계산시뮬레이션 결과가 비슷하게 나온 것을 확인 할 수 있었다.3. ... 이득이 낮고 전류 용량이 BJT에 비해 작아 powersupply 전류 limit을 50mA로 걸어주고 실험을 진행했지만 동작 해석이 간단하며 전압을 사용하여 전류를 조절하기 때문에 시뮬레이션과 ... BJT의 경우 전류에 의해 제어되어 early effect에 의해 시뮬레이션과 실제 전압 이득이 차이를 보였던 반면 FET는 전압에 의해 제어되어 BJT에 비해 채널 길이 변조 현상이
    리포트 | 15페이지 | 2,000원 | 등록일 2021.07.05 | 수정일 2022.03.04
  • [전자전기컴퓨터설계실험2] A+ 서울시립대학교 전전설2 4주차 예비+결과(코드포함) Combinational_Logic_Design_1 Arithmetic_Logic and Comparator
    입력으로 주었던 몇 가지 예시의 이론결과와 실제결과의 비교표이다.이론값은 비트계산기를 통해 확인하였다. ... 실험목표-HDL 문법을 활용하여 Verilog 설계 및 시뮬레이션을 할 수 있다.-감산기와 비교기의 구조 및 동작을 이해 및 확인한다.나. ... 여부를 완벽히 확인할 수 있다.2.4-bits Subtractor아래 그림은 예비보고서에서 설계했던 4비트 전감산기의 시뮬레이션 결과이다.
    리포트 | 7페이지 | 2,000원 | 등록일 2021.03.26 | 수정일 2021.12.14
  • 분자 동역학을 이용한 구조 분석
    NPT 및 NVT 앙상블에서 MD가 진행하고, 계산은 주기 경계조건이 있는 (3.8)3nm3의 cubic 시뮬레이션 상자에서 진행되었다. ... 하지만 여기서 양자역학적 계산을 하기엔 어렵고, 계산에 따른 비용이 많이 들며, 이 기술은 소수의 분자로 구성된 매우 작은 샘플에만 적용할 수 있었다. ... 이는 전구체의 농도가 0.3-1.5M에서 해당하며 장거리 정전기력을 계산하기 위해 Ewald 방법이 사용되었다.
    리포트 | 6페이지 | 4,000원 | 등록일 2022.11.03
  • Molecular dynamics (MD) simulations : Conformational properties of polypeptides
    chemistry는 화학, 물리 현상의 연구에 컴퓨터를 응용하는 화학 분야로 분자궤도 계산계산시뮬레이션 및 데이터베이스의 3개 영역을 포함한다. ... 이 계산은 다음의 식을 통해 이루어진다. ... MSD는 폴리펩타이드의 무게중심과 확산계수로서 계산된다.
    리포트 | 12페이지 | 2,000원 | 등록일 2020.05.25 | 수정일 2020.12.20
  • (기초회로 및 디지털실험) 비 안정 멀티바이브레이터의 설계
    그리고 시뮬레이션 결과 값을 커서로 시간을 측정하여 duty cycle를 계산해본 결과 = x100=66.9%가 계산되었다. ... 계산되는데 시뮬레이션 결과 값과 계산 값이 동일 하므로 발진 주파수 시물레이션 결과 값이 정상 값인 것을 확증할 수 있었다. ... 발진 주파수는 시뮬레이션 결과 101.449KHz가 측정되었는데, 이는 시뮬레이션 데이터에서 커서로 시간을 측정한 값을 이용하여 발진 주파수를 계산하면 f= 공식을 이용하여 =100KHz가
    리포트 | 5페이지 | 1,500원 | 등록일 2021.07.13 | 수정일 2022.02.16
  • [경희대/기초회로실험/A+보고서] 6강 중첩의 원리
    회로를 위와 같이 설계를 한 뒤, 시뮬레이션 한 결과 아래와 같이 나왔다. 첫 번째 시뮬레이션은 전압 시뮬레이션으로, 측정결과와 일치하게 나왔음을 알 수 있었다. ... (노란색은V _{R`1}을, 보라색은V _{R`2}를 하늘색은V _{R`3}를 나타낸다.)두 번째 시뮬레이션은 전류 시뮬레이션으로, 전류 또한 측정결과와 일치하게 나왔음을 알 수 있었다 ... [표 6.3 Vps1과 Vps2가 동시에 동작할 때의 영향 오차 분석]표6.3에 기록된 측정값곽 계산값을 보면 차이가 미미한 것을 알 수 있다.
    리포트 | 6페이지 | 3,000원 | 등록일 2021.09.12
  • 전자회로실험 32장 발진기 회로 1 위상편이 발진기 레포트
    발진기 회로 1 : 위상편이 발진기실험회로 및 시뮬레이션 결과Vout 결정하기이론 상의 발진주파수를 계산하라.f(이론값) =717.044Hz오실로스코프 상에 Vout이 5~6주기 나오도록 ... 위에서 측정한 저항값을 사용하고 또 Rin이 1kohm이라고 가정하여 증폭기의 이득을 계산하라.=33.7m. ... 주파수의 이론값을 비교기준으로 사용하여 주파수의 이론값과 실험값의 %편차를 구하라오차가 살짝 크게 나왔는데 이는 실험실에 소자와 시뮬레이션 소자와 달라서 그런 것 같다.k.회로에서
    리포트 | 4페이지 | 1,000원 | 등록일 2022.12.29
  • A+받은 에미터 공통 증폭기 전압이득 결과레포트
    [실험 1 : NPN 트랜지스터 실험]- PSPICE 시뮬레이션 결과 ... 실험 방법 및 회로도[실험 1 : 에미터 공통 증폭기회로 전압이득 실험]1) 아래 회로도와 같이 회로를 결선한다.2) 입력전압과 출력전압(Ω)을 측정하고 전압이득을 계산한다.3
    리포트 | 3페이지 | 1,000원 | 등록일 2023.06.23
  • [사회복지 정책분석] 계량분석과 질적 분석
    따라서 이 문제를 해결하기 위해 대기행렬이론의 계산 법칙을 컴퓨터에 입력해 사용하고 있다면 한편에서는 분석모형이고 다른 한편에서는 시뮬레이션 모형이 된다.사회복지 정책분석의 도구로서 ... 논리를 컴퓨터에 입력한 후 실제로 분석을 하고자 할 경우에는 컴퓨터를 통해 신속하게 계산 결과를 도출할 수 있을 정도로 발전했다. ... 시뮬레이션의 범주에 들어가기 위해서는 반드시 실험이라는 내용이 들어가야 한다.분석모형과 시뮬레이션 모형이 항상 뚜렷이 구별되는 것은 아니다.
    리포트 | 3페이지 | 2,000원 | 등록일 2019.10.29
  • 칼럼 - 다물체동역학 시뮬레이션의 미래
    다물체동역학 시뮬레이션의 재정의 다물체동역학 시뮬레이션이란 다물체동역학 이론을 바탕으로 기계가 움직일 때 발생하는 값들을 얻기 위해 컴퓨터로 모델을 만들고 계산하는 것을 말합니다. ... 시대의 변화에 발맞춰 다물체동역학 시뮬레이션을 재정의해보면, “컴퓨터 시뮬레이션을 통해 앞으로 제품에 어떤 문제가 생길 수 있는지 미리 예측하는 것, 또는 과거에 어떤 원 인이 현재 ... 다물체동역학 시뮬레이션을 주로 사용하는 분야는 건설기계, 자동차, 항공, 조선, 철도차 량, 농업기계, 공작기계, 사무기기 등입니다.
    리포트 | 3페이지 | 3,000원 | 등록일 2020.04.28 | 수정일 2020.05.11
  • 실험16_전자회로실험_예비보고서_전류원 및 전류거울
    전류 거울에 의해서 와 전류 사이의 관계를 구하고, 도 계산하시오.2. ... 결과예비보고사항에 기재한 시뮬레이션 결과는 이번 항목에 기재하지 않음실험회로 1회로도, , 의 그래프 ... 수 있다.- 전류거울에 발생하는 전압 드롭에 영향을 미칠 수 있다.- 위와 같은 영향으로 인하여 전압, 전류 전반에 영향을 미칠 수 있다.- 실험에 대한 pspice 회로도 및 시뮬레이션
    리포트 | 3페이지 | 2,500원 | 등록일 2024.01.09
  • 전자회로설계, 증폭회로
    Tr의 증폭률인 의 계산 방법은 다음과 같다.변화시킨 베이스전류가 측정하는 TR의 베이스 전류로서 충분히큰 편일때는 대신호 증폭률( )이라고 하고 작은 편이면 소신호 증폭률( )이라고 ... RL에 1G을 집어넣어 시뮬레이션 하였다. ... 한다.데이터 시트를 참고하여 = 0.1 mA 로 고정하고, 그 때의 값을 구하기 위해 아래와 같은 회로를 시뮬레이션 해보았다.시뮬레이션 결과 다음과 같다. = 154 nA 이고,
    리포트 | 5페이지 | 3,500원 | 등록일 2022.06.04
  • [A+결과레포트 전자회로설계실습]9. Current-Steering 회로와 Differential Amplifier 설계
    오차율은 49%로 계산되었고 오차의 원인은 그래프를 분석하면서 언급했던 것과 같은 이유다. ... 같은 이유다.마지막으로 CMRR을 계산 하는 실험에서 는 0 V에 가까운 값으로 측정되었다. ... 그리고 그로인한 전류를 측정하면, differential mode의 출력 저항을 계산해낼 수 있다.
    리포트 | 12페이지 | 2,000원 | 등록일 2020.11.26
  • 2022년 1학기 확률의개념과응용 출석수업 과제물(30점만점)
    지금까지 있었던 축구 경기들의 질적 데이터 분석을 통해, 경기 내 특정 상황에서 골이 들어갈 확률이 계산된 수치이다. ... 학번 끝 4자리를 난수로 부여하여 본인이 직접 시뮬레이션한 것을 인증하는 용도로 쓰인 것이지만, 해당 코드에 원하는 시드 값을 부여하고 작동하면 매번 같은 결과를 불러오는 것이 이 ... 주사위를 던진 횟수에 따른 각 눈이 나오는 횟수의 분포를 확인함으로서, 1~6의 각 주사위 눈이 나올 확률이 이론적 확률인 1/6과 실제로 가까운지 확인해보는 것이 해당 시뮬레이션
    방송통신대 | 6페이지 | 3,000원 | 등록일 2023.07.25 | 수정일 2024.01.08
  • 전자회로 HW2 OPAMP
    추가로 발진 주파수를 계산해 보았는데 이론적으로 계산한 결과와 pspice 시뮬레이션에서 확인한 수치가 같은 것을 확인 할 수 있었다 . uA741 칩과 macro-model 의 A0 ... 설계한 결과 발진기가 보여야 하는 결과와 같은 시뮬레이션 결과를 얻을 수 있었다 . ... 시뮬레이션 상에서 정현파의 주기를 측정해본 결과 1.2666ms 로 이론적으로 구한 주기와 오차범위 내에서 일치하는 것을 확인 할 수 있다 .
    리포트 | 19페이지 | 6,000원 | 등록일 2022.05.15 | 수정일 2022.12.29
  • 서강대학교 고급전자회로실험 - 실험 4. 발진기 및 신호발생기 결과 보고서
    이를 가지고 계산하면beta =0.245가 나오고 따라서R _{1}=3.245k OMEGA이다.이에 따른 시뮬레이션 결과는 다음과 같다.위 시뮬레이션의 주기를 살펴보면 0.16ms임을 ... 주기가 0.1ms 임을 확인할 수 있었다.계산 값과 시뮬레이션 결과 간의 차이는 실제 실험에서 소자로 측정해본 후에 결과를 도출해 내어 결과 보고서에서 다시 자세한 내용을 첨부하도록 ... 여기서R_{ 1}과C의 값을 설정 하면 되는데 먼저R_{ 1}=5k OMEGA로 설정하여 계산하면beta= { 1} over {3 }이고 이를 계산하면C=7.215uF가 된다.
    리포트 | 13페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 4주차 최종본,옴의법칙
    또한 3V 와 6V에서 측정한 전류의 값의 차이를 전류의 변화량으로 계산할 수 있다. 전압의 변화량/전류의 변화량을 통하여 기울기의 값을 계산하면임을 알 수 있다. ... 시뮬레이션 결과를 참조하여 [표 4-4]에 전류값을 기록하라. ... ]에 주어진 저항을 이용하여 각각 DC SWEEP 시뮬레이션을 수행하여 전류를 구하라.
    리포트 | 11페이지 | 1,000원 | 등록일 2022.06.09
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 04일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:28 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대