• 통큰쿠폰이벤트-통합
  • 통합검색(1,755)
  • 리포트(1,694)
  • 자기소개서(25)
  • 시험자료(16)
  • 논문(7)
  • 서식(7)
  • 방송통신대(5)
  • 노하우(1)

"디지털설계 실험 보고서" 검색결과 221-240 / 1,755건

  • 11. Push-Pull Amplifier 설계 예비보고서 - [2021년도 전자회로설계실습 A+ 자료]
    예비보고서설계실습11.Push-Pull Amplifier 설계학과 :담당 교수님 :제출일 : 2021. 00. 00. (월)조 : 0조학번 / 이름 : 2000000 / 성명1. ... 설계실습 계획서3.1 Classic Push-Pull Amplifier 특성위 왼쪽 회로와 같이 설계한 Push-Pull Amplifier에서R_{L}=100 Ω,V_{cc}=12V로 ... Ω,V_{cc}=12V인 경우, Push-Pull 증폭기의 동작을 이해하고 Dead zone과 Crossover distortion 현상을 파악하며 이를 제거하는 방법에 대해서 실험한다
    리포트 | 7페이지 | 1,000원 | 등록일 2022.03.15
  • 충북대 디지털시스템설계 결과보고서1
    디지털시스템설계 실습 결과보고서학번이름1. 실험 제목1-bit Full Adder Design2. ... 실험목표(1) Structural modeling과 Data-flow modeling을 이용한 1-bit Full Adder를 설계하여,Sum, Carry_out의 논리를 확인한다. ... 그래도 1-bit Full Adder에 대해서는 디지털 공학 시간에 이미 배운 내용이었고 코드 작성은 ppt를 참고하였기 때문에 실험 결과는 의도한 대로 제대로 나올 수 있었다.
    리포트 | 3페이지 | 1,500원 | 등록일 2022.02.12 | 수정일 2022.02.14
  • 중앙대학교 전기회로설계실습 예비보고서 11. 공진회로와 대역여파기 설계
    예비 보고서설계실습 11설계 실습 11. ... 계획서RLC 직렬회로에서 R에 걸리는 전압을 출력이라 하였을 때 C=0.01uF, 공진주파수가 15.92kHz, Q-factor가 1인 bandpass filter를 설계하라. ... 공진회로(Resonant Circuit)와 대역여파기 설계목적: RLC 공진 회로를 이용한 Bandpass, Bandstop filter를 설계, 제작, 실험한다.준비물*기본 장비
    리포트 | 7페이지 | 1,000원 | 등록일 2020.09.06
  • [전기회로설계실습]실습8(인덕터 및 RL회로의 과도응답(Transient Response))_예비보고서
    [설계실습8. 인덕터 및 RL회로의 과도응답(Transient Response)] 예비보고서이름/학번:1. ... 실험 목적주어진 시정수를 갖는 RL회로를 설계하고 이를 측정하는 방법을 설계한다.2. ... 설계실습 계획서3.0 Time constant가 10 ㎲인 RL 직렬회로를 설계하여 제출하라.3.1 Function generator(+) - 저항 - 인덕터(10 mH) - Function
    리포트 | 5페이지 | 1,000원 | 등록일 2022.04.11
  • 광운대학교 전기공학실험 M2. 아날로그 및 디지털 기초 회로 응용 예비레포트 [참고용]
    실험기기랩톱 PC, 아두이노 우노 보드, 브레드보드, 전선, 저항, 스위치, LED, 디지털테스터,AND, XOR, OR게이트5. 예비보고서 문제 풀이1. ... 실험 명M2. 아날로그 및 디지털 기초 회로 응용2. ... 논리조합회로의 설계 실험에서 반가산기와 전가산기의 입력과 출력 사이의 관계를 진리표로부터 유도한 후 논리연산자의 연산 법칙을 이용해 최대한 간단히 정리하시오.6. 실험순서6-1.
    리포트 | 8페이지 | 1,500원 | 등록일 2024.01.02
  • 시립대 전전설2 A+ 2주차 예비레포트
    전자전기컴퓨터설계실험 II예비보고서Lab-02 Data Flow Modeling과목: 전자전기컴퓨터설계실험 II담당 교수: 교수님학과: 전자전기컴퓨터공학부학번:이름:제출일:목차1. ... 실험 목적Design Tool 상에서 Verilog HDL을 사용하여 Digital logic을 설계한다.Verilog의 Gate Primitive를 사용하여 간단한 로직에 대하여 ... In-Lab 실험 내용 및 예상결과3.1. 실험 내용[실습 1]: 다음 로직을 Gate Level Modeling 방법으로 설계한다.
    리포트 | 27페이지 | 2,000원 | 등록일 2024.09.08
  • 아주대학교 일반전자공학실험 Digital Dice A+결과보고서
    Lesson 11 : Digital Dice 결과보고서 01* Digital DICE 표현 방법 : 다이오드로 표현> DICE의 6가지의 숫자를 표현하기 위해 그림과 같이 H의 모양으로 ... : Digital Dice 결과보고서 02아날로그 주사위는 육면체로 한 면 마다 숫자가 적혀있고, 여섯 가지의 숫자 중 하나를 랜덤으로 뽑을 수 있게 하는 도구이다. ... 이것을 디지털 주사위로 구현하는 것이 이번 실험의 큰 주제이다.
    리포트 | 22페이지 | 2,000원 | 등록일 2022.05.14
  • [전기회로설계실습]실습12(수동소자의 고주파특성측정방법의 설계)_예비보고서
    [설계실습12. 수동소자의 고주파특성측정방법의 설계] 예비보고서학번:이름:1. ... 실험 목적저항, 커패시터, 인덕터의 고주파 특성을 측정하는 회로를 설계하고 실험을 통하여 등가회로를 이해하며 이들 소자들이 넓은 주파수영역에서 어떻게 동작하는지 실험적으로 이해한다.2 ... 설계실습 계획서3.0 저항, 커패시터, 인덕터의 고주파 특성을 측정하는 회로를 설계하라. 기준을 무엇으로 하고 무엇을 측정해야 고주파 특성을 알 수 있을 것인가?
    리포트 | 5페이지 | 1,000원 | 등록일 2022.04.11
  • 중앙대학교 전기회로설계실습 4차 예비보고서(A+)
    전기회로설계실습 예비보고서(4)-설계실습 4. Thevenin등가회로 설계-1. 실험 목적Thevenin등가회로를 설계, 제작, 측정하여 원본 회로 및 이론값과 비교한다.2. ... 설계실습 계획서아래의 과 같이R _{L}이 부하인 브리지 회로의 Thevenin 등가회로를 이론 및 실험으로 구하고 비교하려 한다.그림 1. ... (b)R _{Th}를 구하는 실험회로도를 설계하고 실험 절차를 설명하라.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.09.22
  • 전자전기컴퓨터설계실험3 - 결과레포트 - 실험12 - BJT(BJT Amplifier Circuit) (A+)
    (나) “Lab 1”을 위한 실험 순서 및 측정 방법사전 보고서의 내용에 따라 Common-Emitter Amplifier를 설계하고 LTSpice의 Simulation을 통해 트랜지스터에 ... 사전 보고서를 통해 설계한 증폭기의 Gain 값이 20dB 이상이 되지 못한다면 이를 해결하기 위한 방안을 고려하여 회로를 수정한다. ... Data analysis (compare results, reasons of error)(1) Compare Result첫번째 실험에서의 Bias Simulation에서 사전보고서에서의
    리포트 | 11페이지 | 2,000원 | 등록일 2020.11.26 | 수정일 2020.11.29
  • [첨삭완료][보고서 점수 1등] 2021년 [전기회로설계실습 예비보고서 11] 공진회로(Resonant Circuit)와 대역여파기 설계
    공진회로(Resonant Circuit)와 대역여파기 설계설계실습 계획서는 제출 시 초안이 아닌 조교의 채점 후 피드백을 반영하여 수정한 보고서입니다. ... 결과 보고서는 따로 피드백 없이 모두 만점 받았습니다. 또한 비대면 학사 실험으로 모두 교수님께서 실험한 정확한 결과 값 그대로 사용하여 실험에 대한 오류는 없습니다. ... 설계실습 계획서설계실습 11.
    리포트 | 9페이지 | 1,500원 | 등록일 2022.11.11
  • 아주대학교 자동제어실험 1번 실험 / Quarc & Elvis 실습 / 예비보고서
    1번 실험 예비보고서전자공학과 / 학년 / 학번 : / 이름 :날짜 : / 담당조교님 :실험 1. QUARC 및 ELVIS 실습1. ... 이를 통해 차후 진행될 설계실험에 대한 기본적인 시야를 다질 수 있다.2. 실험 기자재NI PCIe-6351는 Matlab과 실험모델을 연결하는 역할을 한다. ... Analog Signal 과 Digital Signal 에 대해서 조사하고, 이 두 신호의 차이점에 대해 논하시오아날로그 (연속 신호)디지털 (이산 신호)신호형태특징1.
    리포트 | 3페이지 | 1,000원 | 등록일 2022.03.06
  • 전자전기컴퓨터설계실험3 - 예비레포트 - 실험10 - MOSFET(CMOS Inverter) (A+)
    그리고 사전보고서의 계산 값, PSpice Simulation을 수행한 값과 비교하시오. ... 그리고 이를 사전보고서에서 계산한 값과 PSpice Simulation을 수행한 값과 비교한다.마지막으로 위의 결과를 통해 이 되도록 하는 와 를 찾는다.나. ... Materials & Methods (실험 장비 및 재료와 실험 방법위한 실험 순서 및 측정 방법그림 2의 회로를 설계한다.
    리포트 | 11페이지 | 2,000원 | 등록일 2020.11.26 | 수정일 2020.11.29
  • [A+] 중앙대 전기회로설계실습 6차 예비보고서
    계측장비 및 교류전원의접지상태의 측정방법설계예비보고서학생이름 : xxx학번 : 20xxxxxx학수번호 : xxxxx-xx실험조의 번호 : x조실험조원의 이름 : xxx, xxx, xxx1 ... 설계실습 계획서3.1. ... DMM을 사용하여 실험실 교류전원(220V) power outlet(소켓) 두 개의 접지 사이의 전압을 측정하는 방법을 설계하여 제출하라.DMM을 교류전압측정모드(ACV)로 세팅한다.DMM의
    리포트 | 5페이지 | 1,000원 | 등록일 2023.01.19
  • [전기회로설계실습]실습7(RC회로의 시정수 측정회로 및 방법 설계)_예비보고서
    [설계실습7. RC회로의 시정수 측정회로 및 방법 설계] 예비보고서이름/학번:1. 실험 목적주어진 시정수를 갖는 RC회로를 설계하고 이를 측정하는 방법을 설계한다.2. ... 설계실습 계획서3.1 DMM으로 전압을 측정할 때 내부저항이 매우 크다는 것은 앞에서 실험하였다(10MΩ 정도). ... 준비물*기본 장비 및 선Function generator: 1대DC Power Supply(Regulated DC Power Supply(Max 20 V 이상): 1대Digital
    리포트 | 6페이지 | 1,000원 | 등록일 2022.04.11
  • A+ 받을 수 있는 중앙대학교 전기회로설계실습 설계실습 설계실습 7.RC회로의 시정수 측정회로 및 방법설계 예비보고서
    전기회로설계실습(7번 실습- 예비보고서)소 속담당 교수수업 시간편 성학 번성 명설계실습 7.RC회로의 시정수 측정회로 및 방법설계요약 : 주어진 시정수를 갖는 RC 회로를 설계하고 ... 1개10 ㎋ ceramic disc 1개switch: SPST(single pole single throw) 2개또는 SPDT(single pole double throw) 2개3.설계실습계획서3.1 ... DMM으로 전압을 측정할 때 내부저항이 매우 크다는 것을 앞에서 실험하였다(10㏁정도).
    리포트 | 8페이지 | 1,000원 | 등록일 2023.07.31
  • 디멀티플렉서 설계 결과보고서
    디지털시스템 설계 실습 5주차 결과보고서학과전자공학과학년3학번성명※디멀티플렉서 설계다음 블록도, 진리표와 같이 동작하는 디멀티플렉서를 설계하라. ... 오늘 실험에서는 when~else과 case~when 두 가지 형식을 사용해서 멀티플렉서를 설계하였다. ... 그동안 하나의 방법을 사용해서 설계하였는데 오늘은 두 가지의 방법을 사용해서 설계를 해보았다. when~else와 case~when은 매우 유사하지만 when~else는 병행 실행문이므로
    리포트 | 3페이지 | 1,000원 | 등록일 2021.04.16
  • 시립대 전자전기컴퓨터설계실험1 4주차 예비리포트
    제 4주차 예비 리포트실험제목: PSpice를 이용한 전기회로 시뮬레이션Major전자전기컴퓨터공학부Subject전자전기컴퓨터설계실험1ProfessorStudent ID NumberNamesubmit ... date목차이론1) 목적2) 배경3) 설치 및 실행사전보고서1) Analysis Setup Menu2) Simple Voltage/Current 분석3) Voltage Source사용방법1 ... 사전보고서1) Analysis Setup MenuAnalysis Setup Menu 중 다음의 두 가지 종류의 Simulation 결과의 차이점을 설명하시오● BiasPoint Detail
    리포트 | 20페이지 | 1,000원 | 등록일 2021.04.16
  • [A+결과레포트 전자회로설계실습]11. BJT와 MOSFET을 사용한 구동회로
    전자회로설계실습 결과보고서11. BJT와 MOSFET을 사용한 구동회로담당 교수담당 조교제출날짜학번조이름1. 요약2. 서론3. ... 또한, 보고서 작성법부터 DATA SHEET보는법, 실험 회로도 짜는 법까지 세세하게 피드백해주신 교수님께 진심으로 감사하다는 말씀을 전해드리고 싶다. ... 실제 실험에서는 2147Ω으로 실험하였다.또한 LED와 DC전압 사이의 저항(R2)은 140Ω으로 설계하였고 실제 실험에서는 146Ω으로 실험하였다.입력전압은 TTL단자를 이용하여
    리포트 | 5페이지 | 2,000원 | 등록일 2020.11.26
  • [보고서]디지털 트윈에 대한 조사
    보고서에서는 이러한 디지털 트윈 기술에 대해서 알아보도록 하겠다.본론1. ... 이러한 관점에서 본 보고서에서는 시뮬레이션 기술을 이용한 디지털화에 대해서 알아보았다.참고문헌1. 자동화 기술, 2023년 2월호 ... 디지털 트윈을 활용해 제품을 설계하고, 이를 가상에서 생산해보고 실제 생산과도 연계하고 있는 것이다.
    리포트 | 3페이지 | 3,000원 | 등록일 2023.03.17 | 수정일 2023.05.10
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:19 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대