• 파일시티 이벤트
  • LF몰 이벤트
  • 유니스터디 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(447)
  • 리포트(446)
  • 시험자료(1)

"아주대학교 논리회로실험" 검색결과 221-240 / 447건

  • [논리회로실험] 실험7. Shift Register 예비보고서
    전문분야에서 발전하도록 도우며, 이 윤리 헌장을 준수하도록 지원한다.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학과제출일:과목명: 논리회로실험교수명 ... :학 번:성 명:실험 7. ... 실험목적1) 실험에 사용하는 7476, 7496 IC의 특성 파악한다.2) 시프트 레지스터의 동작 원리와 특성을 이해한다.2.
    리포트 | 7페이지 | 1,500원 | 등록일 2023.05.27
  • [논리회로실험] 실험8. Counter 결과보고서
    비동기식 카운터는 CLK 값이 첫 번째 플립플롭에만 인가되는 회로이기 때문에 그 다음 플립플롭의 클럭 입력값은 앞 단의 플립플롭의 출력값으로 인가된다. ... 사각파를 만들어 클럭 펄스를 인가한다. (+ 선은 74HC76 1번, - 선은 GND 처리)이 때 비동기식 Counter이므로 회로도에서 첫 번째 단의 플립플롭에만 CLK 인가한다 ... 만들어 클럭 펄스를 인가한다. (+ 선은 74HC76 1, 6번, - 선은 GND 처리)이 때 동기식 Counter이므로 회로도에서 모든 플립플롭에 CLK 인가한다.74HC76의
    리포트 | 6페이지 | 1,000원 | 등록일 2023.03.28
  • [논리회로실험] CMOS 회로의 전기적 특성 결과보고서
    CMOS 회로의 전기적 특성1. 실험 과정 및 결과* 실험 1 : Inverter의 입출력 특성 확인1) 실험 과정- 주어진 회로를 구성한다. ... 2 : Schmitt-trigger의 입출력 특성 확인1) 실험과정- 주어진 회로를 구성한다. ... 실험과정- 주어진 회로를 구성한다.- 2번 핀의 전압(V _{OUT})과I _{OL}을 측정한다-R _{n}을 계산한다.2) 실험 결과측정값 )V _{OL`max} =0.26319VI
    리포트 | 6페이지 | 1,000원 | 등록일 2021.04.06 | 수정일 2023.03.29
  • [논리회로실험] Counter_ 예비보고서
    실험이론1) Counter- 클럭펄스를 세어서 수치를 처리하기 위한 논리회로- 반복해서 일어나는 현상의 수를 셈한다.- 플립플롭 회로로 구성한 2진 계수기와 변형된 n진 계수기, 링 ... 실험하고 그 동작원리를 이해2. ... 실험과정 및 예상 결과1) 실험 1 : 2단 2진 Counter - 비동기식 Counterpower supply를 연결하고 74HC76과 74HC08 칩을 이용하여 2단 2진 Counter를
    리포트 | 9페이지 | 1,000원 | 등록일 2021.06.03 | 수정일 2023.03.29
  • [논리회로실험] Decoder & Encoder 예비보고서
    참고네이버 지식백과wikipedia논리회로 강의노트 ... 실험이론1) Decoding- n비트의 2진코드를2 ^{n}개의 서로 다른 정보로 바꾸어 주는 조합 논리회로- 입력 n개, 출력 m개인 디코더를 nXm 디코더라고 하면, n개의 입력 ... 전문분야에서 발전하도록 도우며, 이 윤리 헌장을 준수하도록 지원한다.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학과제출일:과목명: 논리회로실험교수명
    리포트 | 9페이지 | 1,000원 | 등록일 2021.04.07 | 수정일 2023.03.29
  • [논리회로실험] RAM 예비보고서
    전문분야에서 발전하도록 도우며, 이 윤리 헌장을 준수하도록 지원한다.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학과제출일:과목명: 논리회로실험교수명 ... 실험과정 및 예상 결과1) 실험 1 : 2-bit RAM- 7400으로 R-S Flip-Flop 2개를 구성하여 위의 회로를 구현한다.* Write- In0, In1의 입력 값으로 ... :학 번:성 명:실험 9.
    리포트 | 7페이지 | 1,000원 | 등록일 2021.12.31 | 수정일 2023.03.29
  • [논리회로실험] RAM 결과보고서
    전문분야에서 발전하도록 도우며, 이 윤리 헌장을 준수하도록 지원한다.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학과제출일:과목명: 논리회로실험교수명 ... 실험 과정 및 실험 결과1) 실험 1 : 2-bit RAM- 7400으로 R-S Flip-Flop 2개를 구성하여 위의 회로를 구현한다.* Write- In0, In1의 입력 값으로 ... :학 번:성 명:실험 9.
    리포트 | 4페이지 | 1,000원 | 등록일 2021.12.31 | 수정일 2023.03.29
  • [논리회로실험] 실험5. Decoder&Encoder 결과보고서
    실험 과정 및 결과1) 실험 1 : 2X4 Dencoder문제회로설계한 회로- 주어진 회로를 구성한다.- 입력 값을 00, 01, 10, 11 으로 변경해가며 변화를 관찰한다.- 실험결과S1 ... Decoder의 회로를 구성하고 동작을 확인해보는 실험이었다. ... 10진 / Excess-3 코드문제회로설계한 회로- 주어진 회로를 구성한다.- 입력 값을 변경해가며 변화를 관찰한다.- 실험결과S0=1S1=1S2=1S3=1S4=1S5=1S _{5}
    리포트 | 8페이지 | 1,000원 | 등록일 2023.03.28
  • [논리회로실험] 가산기&감산기 예비보고서
    참고네이버 지식백과wikipedia논리회로 강의노트 ... B로 표현ABDB00000111101011004) 전감산기- 뒷단의 위치에 빌려준 1을 고려하며 두 비트의 뺄셈을 수행하는 논리회로3. ... 실험과정 및 예상 결과1) 실험 1 : 2X4 Dencoder- 주어진 회로를 구성한다.- 입력 값을 00, 01, 10, 11 으로 변경해가며 변화를 관찰한다.- 예상결과ABD _
    리포트 | 7페이지 | 1,000원 | 등록일 2021.04.06 | 수정일 2023.03.29
  • [논리회로실험] Latch & Flip-Flop - 결과보고서
    전문분야에서 발전하도록 도우며, 이 윤리 헌장을 준수하도록 지원한다.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학과제출일:과목명: 논리회로실험교수명 ... 회로가 기억소자로써 동작함을 확인했다.실험 2에서 D Latch with Enable을 구성했고 실험 1과 마찬가지로 enable의 입력이 1일 때 정상작동하였으며 실험 1에서는 R과 ... 실험 과정 및 결과1) 실험 1 : R-S Latch with Enable (생략)- 74HC00 1개로 R-S Latch 회로를 구현한다.- Enable(C)에 1의 입력을 넣고
    리포트 | 6페이지 | 1,000원 | 등록일 2021.05.04
  • [논리회로실험] Latch & Flip-Flop 예비보고서
    전문분야에서 발전하도록 도우며, 이 윤리 헌장을 준수하도록 지원한다.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학과제출일:과목명: 논리회로실험교수명 ... 실험과정 및 예상 결과1) 실험 1 : R-S Latch with Enable- 74HC00 1개로 R-S Latch 회로를 구현한다.- Enable(C)에 1의 입력을 넣고 S와 ... 실험이론1) CLK, EnableCLK(클럭) : 일정 주기를 갖는 신호 ( 시간을 알려줌 )Enable : 회로가 정상작동을 하게하거나 멈추도록 설정해주는 입력2) Latch/Latch
    리포트 | 8페이지 | 1,000원 | 등록일 2021.05.04 | 수정일 2021.06.04
  • [논리회로실험] 실험 4. Multiplexer & Demultiplexer 결과보고서
    전문분야에서 발전하도록 도우며, 이 윤리 헌장을 준수하도록 지원한다.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학과제출일:과목명: 논리회로실험교수명 ... 실험결과의 차이는 없었지만 Multiplexer의 단일 IC칩으로 구성된 회로와 여러 개의 IC칩을 사용하여 Multiplexer를 구현해낸 회로에는 성능적으로는 분명한 차이가 있을 ... , D0~D3는 4개의 데이터 입력이다- 입출력 값을 확인해가며 진리표를 완성한다.2)- 실험 1과 동일한 회로를 구성한다.- 문제의 표와 같이 입력을 가한 뒤 출력 Y가 선택입력
    리포트 | 6페이지 | 1,000원 | 등록일 2023.05.27
  • 논리회로실험 예비보고서3
    실험에 대한 이론·가산기 : 두 개 이상의 입력을 이용하여 이들의 합을 출력하도록 하는 조합논리회로로, 반가산기와 전가산기로 나눌 수 있다. ... ·감산기 : 두 개 이상의 입력을 이용하여 이들의 차을 출력하도록 하는 조합논리회로로, 반감산기와 전감산기로 나눌 수 있다. ... -반가산기2개의 비트 A와 B를 더해 합 S와 올림수 C를 출력하는 조합논리회로로 올림수와 합에 대한 부울 대수식을 표현하면C=A BULLETB,S=A OPLUSB와 같다.
    리포트 | 8페이지 | 1,500원 | 등록일 2020.09.18
  • 논리회로실험 예비보고서1
    CMOS와 TTL 같은 전자 논리회로에 대 하여 이야기 할 때 0,1이 아닌 LOW, HIGH의 용어를 사용한다.정논리에서는 LOW(L)를 대수적으로 낮은 전압의 신호이며 논리 0으로 ... -Boolean equation : 위의 논리게이트에 ()로 명시한 부분이며 논리회로의 형태와 구조를 기술하기 위하여 필요한 수학적인 이론이다. ... -실험1-1) 3-input OR gateOR Gate의 불 대수식은L1=A+B이고 실험1-1-③의 회로는 OR Gate가 2개 결합되어 있는 형태로L2=L1 +C=(A +B) +C이다
    리포트 | 8페이지 | 1,500원 | 등록일 2020.09.18
  • [논리회로실험] 실험3. 가산기&감산기 결과보고서
    실험 과정 및 결과* 실험 1 : 반가산기1) 실험 과정- 주어진 회로를 설계한다.- 출력 결과를 확인하고 진리표를 작성한다.2) 실험 결과ABSC*************101Boolean ... 진리표는 실험1과 마찬가지로 예비보고서의 결과 값과 동일하게 나왔다.* 실험 3 : 반감산기1) 실험 과정- 주어진 회로를 설계한다.- 출력 결과를 확인하고 진리표를 작성한다.2) ... 반가산기와 마찬가지로 두 개의 입력과 두 개의 출력이 나타나며 진리표도 예상 결과 값과 동일하게 나왔다.* 실험 4 : 전감산기1) 실험 과정- 주어진 회로를 설계한다.- 출력 결과를
    리포트 | 5페이지 | 1,000원 | 등록일 2023.03.28
  • 논리회로실험 예비 3
    실험 목표 - 기본적인 Gate의 조합논리회로로써 반가산기, 전가산기, 반감산기, 전감산기를 구성해본다. - 진리표와 비교하여 결과를 확인해본다.2. ... 실험이론① 반가산기 반가산기(Half adder)는 가장 간단한 가산기로써 1비트 연산으로 2개의 오퍼랜드 A와 B를 더하여 2비트 합을 구한다. ... 각각에 관한 식은 다음과 같다.표현식에 따른 반가산기의 게이트수준 회로도와 진리표는 아래와 같다.② 전가산기 반가산기가 존재하지만, 실제로 한 비트만을 더하는 연산은 비중이 작다.
    리포트 | 10페이지 | 1,500원 | 등록일 2016.09.24 | 수정일 2018.10.08
  • 논리회로실험 결과보고서8 Counter
    이번 실험은 Timer에 관한 실험이었다.? 왼쪽 그림은 예비보고서에서 작성한 회로 결선도이다. ... 결선도는 이번 실험에서 구성한 회로와 Bread board 상의 위치만 조금 다르고, 회로 구성방법은 일치하였다. 결선도에서는 LED와 저항 부분을 기호로 표시하였다.? ... 또한 이 회로는 2x4 decoder의 기능을 하고 있음을 알 수 있다.? Part 2는 3진 동기식 Counter에 관한 실험이었다.
    리포트 | 6페이지 | 1,500원 | 등록일 2017.03.09 | 수정일 2017.05.30
  • 논리회로실험 예비보고서2 CMOS 회로의 전기적 특성
    CMOS 회로의 전기적 특성실험목적실험이론? ... (b) 오실로스코프의 출력모드를 x-y모드로 설정하고 실험을 진행한다.Part 2. ... (b) 오실로스코프의 출력모드를 x-y모드로 설정하고 실험을 진행한다.Part 3.
    리포트 | 8페이지 | 1,500원 | 등록일 2017.03.09 | 수정일 2017.05.30
  • 논리회로실험 예비보고서9 RAM
    74HC670Pinout Functional DiagramTruth table실험과정 및 예상 결과Part 1. 2-bit RAM(a) 위의 회로를 구성하고 Truth table을 ... RAM실험목적? 반도체 memory의 기본적인 동작원리를 알아본다.? 16-bit 기억 소자의 동작을 실험을 통해 확인해 본다.실험이론? ... 구성하고 원하는 데이터를 쓰고 읽는 실험과 휘발성 실험을 진행한다.참고문헌-John F.
    리포트 | 5페이지 | 1,500원 | 등록일 2017.03.09 | 수정일 2017.05.30
  • 논리회로실험 결과보고서9 RAM
    Part 1의 회로는 전에 실험회로와 매우 유사함을 알 수 있다. ... 왼쪽 그림은 예비보고서에서 작성한 회로 결선도이다. 결선도는 이번 실험에서 구성한 회로와 Bread board 상의 위치만 조금 다르고, 회로 구성방법은 일치하였다.? ... Part 1은 2-bit RAM에 관한 실험이었다. 회로는 74HC00과 74HC03을 이용하여 구성하였다.
    리포트 | 5페이지 | 1,500원 | 등록일 2017.03.09 | 수정일 2017.05.30
AI 챗봇
2024년 09월 03일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:52 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대