• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(447)
  • 리포트(446)
  • 시험자료(1)

"아주대학교 논리회로실험" 검색결과 181-200 / 447건

  • 아주논리회로실험 실험예비9 D/A & A/D Converter (DAC & ADC)
    실험 9. ... 수 있다는 장점이 있다.(2) A/D converter아날로그 입력전압을 2진 디지털 신호로 변환하여 출력하는 회로로 기본적으로 증폭기, 필터회로, 클램프 회로, 기준전원 발생회로 ... 그러나 높은 분해능을 갖게 하려면 정밀 저항 회로와 비교기의 수가 많아져 회로가 복잡해지고 가격이 비싸진다.
    리포트 | 9페이지 | 1,500원 | 등록일 2014.10.04 | 수정일 2017.08.03
  • 아주논리회로실험 래치와 플립플롭 , Decoder &Encoder결과보고서
    논리회로실험 결과보고서실험5. ... 래치와 플립플롭은 단순한 계산만 하는 논리회로를 벗어나 값을 기억해 출력하는 지연 출력이 가능한 논리회로이다. ... 이번 실험에서는 래치와 플립플롭에 대해서 알아보고 실제로 회로를 구성해서 결과가 어떻게 나오는지 살펴봤다.
    리포트 | 7페이지 | 1,000원 | 등록일 2013.11.29
  • [A+ 예비보고서] 아주논리회로실험 실험10 'D/A & A/D converter'
    실험 10. 예비보고서1.실험목적.-DAC와 ADC 회로의 구성과 동작 원리에 대해 이해한다.-주파수 발생기를 이용하여 DAC를 실험하고 다양한 조건에서의 파형을 관찰한다. ... ) 실험1 회로의 출력에 아래 비교기 부가2) 1KHz pulse를 single pulse로 바꾸고counter를 0으로 reset. ... ) 회로 구성2) Single pulse clock으로 single pulse를 가하여 D/A converter의 출력을 측정3) 주파수 발생기를 이용하여 계단 파형(1KHz)이 나오는지
    리포트 | 5페이지 | 1,000원 | 등록일 2015.03.27
  • [A+ 결과보고서] 아주논리회로실험 실험10 'D/A & A/D converter'
    실험 10. 결과보고서1.실험목적.-DAC와 ADC 회로의 구성과 동작 원리에 대해 이해한다.-주파수 발생기를 이용하여 DAC를 실험하고 다양한 조건에서의 파형을 관찰한다. ... -주파수 발생기를 이용하여 ADC를 실험하고 다양한 조건에서의 파형을 관찰한다.2.실험결과실험1. ... 이번실험은 어떠한 값을 측정하거나 하는 실험이 아니고, 파형의 모양에 집중하는 실험인데, SPICE에서 나온 결과와 실제 실험했을 때 얻은 결과의 파형이 같았다.
    리포트 | 3페이지 | 1,000원 | 등록일 2015.03.27
  • 2011년도 2학기에 들은 따끈따끈한 논리회로실험 (아주대)
    실험 수행 과정1) 실험 1 회로를 구성한다.2) OE0, 1단으로 PART 1이냐 PART 2냐를 설정한다. (주소설정)3) IN0, 1으로 읽거나 쓸거냐를 설정한다. ... 이 점을 주의하여 실험을 하였고 큰 어려움 없이 원하는 결과를 Out Put 할 수 있었다.1) 실험 2 회로를 구성한다.2) A/A~A/D 까지 데이터를 저장할 주소를 설정한다.3 ... 실험 중 LED의 +단을 VCC쪽으로 -단을 회로 쪽으로 연결하여 테스트를 하여야 올바른 결과가 나오는 실험이었다. ◎ 가장 어려웠던 점은 ME와 WE를 이용한 Write와 Read를
    리포트 | 4페이지 | 1,500원 | 등록일 2012.02.22
  • 아주논리회로실험 5장.인코더디코더 결과
    실험 결과에서도 확실할 수 있듯이 대응대되 A1과 A0의 입력에 따라 출력되는 D값이 하나만 대응된다. ... 이는 오픈된 입력은 논리1로 처리됨을 알 수 있었다. 그러나 OR gate는 open이 된다면 논리 1로 처리되어 치명적 오류를 범할 수 있음을 알 수 있다. ... 하지만 이 실험에서 사용된 회로는 EXCESS-3 코드로 동작하는 회로이다. 따라서 본래의 10진 인코더에 의해서 출력되는 값에서 3이 더해진 값이 나오게 된다.
    리포트 | 8페이지 | 2,000원 | 등록일 2011.12.21
  • [아주대] 논리회로실험 8장&9장 결과(Counter&RAM)
    회로실험 결과 구성한회로 사진위와 같이 회로를 구성하여 2단 2진 비동기식 카운터를 만들었다. clock generator를 사용하여 첫 번째 플립플롭의 clock 입력에만 pulse를 ... RAM의 목적과 동작원리에 대해 알고 회로에 직접 구현하는 실험이었다.실험2에서는 RAM소자를 이용하여 데이터의 저장과 로딩을 확인하는 실험이었다. ... 볼 수 있듯이 앞으로의 모든 실험에서 공급전류원은 5V DC 전압을 사용했다.< 실험 2 >< 실험 2 > 회로실험 결과 구성한회로 사진실험 2에서는 RAM소자를 이용하여 데이터의
    리포트 | 6페이지 | 2,000원 | 등록일 2013.09.25
  • [아주대학교] 논리 회로 실험 -2장- CMOS 회로의 전기적 특성 - 결과보고서
    실험 2. CMOS 회로의전기적 특성가. Logic Levels & DC Noise Margins(1) DC 전원공급기는 VIN과 VCC를 위해 2개 채널을 모두 사용한다. ... Schmitt-Trigger Inverters(1) 실험 1과 마찬가지로 DC 전원공급기 2개 채널을 모두 사용한다. ... 시작하여 입력전압을 올린다.출력전압이 계속 일정하다가 입력전압이 2.6V에서 출력전압이 갑자기 하강한다.2.6V 이하로는 입력전압이 변해도 출력전압이 변하지 않는다.0V -> 4.5V실험
    리포트 | 7페이지 | 1,500원 | 등록일 2010.09.28
  • [아주대] 논리회로실험 10장 결과(DA & AD converter(DAC & ADC))
    그러나 역시 계단파형의 간격이 작아서 변화가 잘 관찰되지는 않았다.< 실험 1 > 회로도구성한 회로 사진< 실험 2 : ADC >● 실험2 : ADC-실험1 회로의 출력 단에 위와 ... 그 결과 위와 같이 파형이 관찰되었으며 아주 미세한 차이만 있다는 것을 확인할 수 있었다.즉, 실험 전 예비보고서에서 썼듯이 74HC90소자에서 0부터 10까지 해당하는 디지털 신호를 ... 2.7k OMEGA실험1은 위의 회뢰도와 같이 D/A converter회로를 구성하였다.
    리포트 | 7페이지 | 2,000원 | 등록일 2013.09.25
  • 아주대_논리회로실험_예비5_래치와 플립플롭
    편의상 주로 상승 모서리 트리거 방식 플립플롭을 기준으로 설명한다.◆ LatchLatch는 하나 이상의 비트를 저장하기 위한 논리회로이다. ... 실험 5. 래치와 플립플롭(Latch & Flip-Flop)1. 목적여러 종류의 flip-flop을 구성하여 그 동작 특성을 알아본다.2. ... 상승 모서리 트리거 방식 플립플롭과 하강 모서리 트리거 방식 플립플롭은 출력 값이 변하는 시점만 다를 뿐 출력의 논리 값을 결정하는 방법은 동일함으로 앞으로 플립플롭을 설명할 때는
    리포트 | 6페이지 | 1,000원 | 등록일 2010.04.04
  • [아주대] 논리회로실험 10장 예비(DA & AD converter(DAC & ADC))
    그리고 68kOMEGA 이 없을 때와 비교한다.< 실험 2 >실험1 회로의 출력단에 위와 같은 비교기 회로를 추가한다. 1KHz pulse를 single pulse로 바꾸고 (스위치 ... 2 >실험 2)가변 저항을 2번핀에 연결시키고 가변저항의 전압이 각각의 값이 될 때의 예상 결과전압값은 곧 analog신호의 값을 의미하므로 직접적인 ADC는 아니지만 실험2의 회로를 ... DAC는 digital신호를 analog 신호로 만들어주는 회로를 말하며, ADC는 analog 신호를 digital 신호로 변환해주는 회로를 말한다.D/A converterD/A
    리포트 | 8페이지 | 2,000원 | 등록일 2013.09.25
  • [보고서+소스코드]아주논리회로실험 기말프로젝트 VHDL 신호등 설계(Traffic Lights) 보고서
    1. 프로젝트의 목적 HBE-COMBO II 보드에 장착되어 있는 Traffic Lights Module을 이용하여 실제 실생활에 쓰이는 신호등의 컨트롤러를 구현한다. 기본적인 신호등의 기능을 직접 VHDL을 이용하여 코딩하고 시뮬레이션 해본 후 HBE-COMBO II..
    리포트 | 30페이지 | 3,800원 | 등록일 2014.10.04 | 수정일 2017.08.03
  • 아주대_논리회로실험_예비7_복호기와 부호기
    실험 7. 복호기와 부호기(Decoder & Encoder)1. ... Excess-3 Code는 BCD Code에 3의 2진수 값인 0011을 더해준 것과 같은 결과를 출력하였음을 볼 수 있다.(4) 2단 2진 카운터의 출력을 다음 코드로 인코딩할 수 있는 회로를 ... 목 적카운터를 이용해 디코딩(decoding)과 인코딩(encoding)의 코드 변환 동작에 관해 실험하고 그 동작 원리를 이해한다.2.
    리포트 | 3페이지 | 1,000원 | 등록일 2010.04.04
  • 아주논리회로실험 예비4-멀티플렉서와 디멀티플렉서
    이것은 어떠한 논리 회로가 어떤 신호에서 특정 기능을 수행하는지에 따라 나누는데, active high라 함은 그 기능이 signal이 high가 들어왔을때 수행됨을, active ... 들어가는 A,B는 디먹스의 select 역할을 수행하고, G'로 표시되는 enable pin은 D핀에 대치되어 같은 기능을 수행한다.(3) Active High 와 Active low논리회로에서 ... 실험 4. 멀티플렉서와 디멀티플렉서( Multiplexer & Demultiplexer )1.
    리포트 | 5페이지 | 1,000원 | 등록일 2010.04.04
  • 아주논리회로실험 결과5-래치와 플립플롭
    실험 결과 Truth table※ 동작 원리R-S latch회로에서는 SR = 00일 때, 회로의 출력은 변하지 않고 Q(t-1)값을 갖으며 SR = 01일 때에는 Reset 기능이 ... 실험방법 (1)과 (2)를 통해 R-S latch회로에 쿨럭 입력을 달았을 때와 안달았을 때의 차이점을 알아 볼 수 있었다. ... 실험 결과 Truth table (7476)※ 동작 원리J-K F/F은 R-S F/F를 보완한 회로로 R-S F/F은 입력이 SR = 11일 때 출력이 될 수 없지만 J-K F/F일
    리포트 | 4페이지 | 1,000원 | 등록일 2010.04.04
  • 아주논리회로실험 9장 RAM 결과(문답+빵판+고찰)
    우선 회로에서 74HC03 게이트는 오픈 드레인이기 때문에 풀업 저항을 달지 않으면 두 입력이 High일때 결과 L 밖에 사용할 수 없다. ... 그 결과 출력값이 주소의 gray code값이 출력되었다.- 실험에 대한 고찰이번 실험은 두개의 RS래치를 이용한 2bit RAM을 구성하는 실험이었다.실험에서 우리가 저장하고자 하는 ... 강의 노트에 나와 있는 결과표대로 실험을 한다면 실험의 목적과 맞지 않을 것 같다. 왜냐하면 입력과 선택과 출력이 맞지 않아서 실험의 목적과 맞지 않는다.
    리포트 | 5페이지 | 2,000원 | 등록일 2011.12.21
  • 아주논리회로실험 결과7-복호기와 부호기
    하지만 회로의 오류가 있어서 처음엔 정확한 출력 값을 얻을 수 없었지만 회로를 차근차근 오류의 원인을 파악함으로써 오류를 찾아 정확한 회로실험하니 Excess-3 Code에 정확한 ... 값을 얻을 수 있었다.5) 7 segment 표시기를 갖는 BCD 카운터< 그림 8. 7-segement 표시기를 갖는 BCD 카운터 >※ 토의 사항이번 실험은 교재의 실험 회로의 ... 실험 7. 복호기와 부호기(Decoder & Encoder)1.
    리포트 | 5페이지 | 1,000원 | 등록일 2010.04.04
  • 아주논리회로실험 예비6-시프트 레지스트와 카운터
    예비 보고서실험6. ... 비동기식 Count-Down 카운터 - 비동기식 Count-Down 회로가 Count-Up 카운터 회로와 다른 점은 앞단 플립플롭의 출력이 Q가 뒷단의 출력의 플립플롭의 클럭 펄스로 ... 회로는 출력이 모든 플립플롭의 보수단자 Q'에서 취해진다면 2진 하향 카운터로서의 기능을 발휘할 것이다.
    리포트 | 6페이지 | 1,000원 | 등록일 2010.04.04
  • 아주논리회로실험 1장.basic gates 결과(문답+빵판+고찰)
    논리회로 처음 실험인데도 많은 어려움을 느껴 다음부터는 예비보고서를 쓸 때 철저하게 예습을 하고 실험에 임해야겠다고 느꼈다. ... support them in following this code of ethics.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학부과목명: 논리회로실험교수명 ... 예전에 무심코 지나쳤던 논리소자들이 이러한 결과를 가져오는 것을 머리로만 알고 있었는데, 실험을 해보고 나니 확실하게 알게 되었다.
    리포트 | 11페이지 | 1,500원 | 등록일 2011.12.21
  • 아주대_논리회로실험_결과9_D/A & A/D Converter
    D/A converter의 회로의 구성과 동작 원리에 대해 알아보는 실험이다. ... 실험 9. D/A & A/D Converter(DAC & ADC)1. 목 적D/A와 A/D 변환기(converters) 회로의 구성과 동작 원리에 대해 이해한다.2. ... 하지만 +15V와 -15V의 전압을 걸어주기 전의 출력 결과를 통해 이번 실험을 특징을 이해할 수 있었다.(2) A/D converter그림 4의 회로 출력에 그림 5의 비교기를 부과한다
    리포트 | 5페이지 | 1,000원 | 등록일 2010.04.04
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 19일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:11 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기