• 통큰쿠폰이벤트-통합
  • 통합검색(825)
  • 리포트(769)
  • 시험자료(32)
  • 논문(11)
  • 기업보고서(7)
  • 자기소개서(3)
  • 서식(1)
  • 방송통신대(1)
  • ppt테마(1)

"토글" 검색결과 221-240 / 825건

  • [기초전자회로실험2] "D latch and D flip-flop / J-K flip-flop" 결과보고서
    J=K=0 일 때 이전상태 / J=1, K=0 일 때 (1, 0) / J=0, K=1 일 때 (0, 1) / J=1, K=1 일 때 토글PRE, CLR 모두 0일 때, 4가지 입력
    리포트 | 4페이지 | 1,000원 | 등록일 2019.03.25 | 수정일 2019.04.01
  • 서양복식사- 코트.오버코트,더플코트,트렌치코트,MAC
    후드 부착 / 단추 대신 토글, 삼으로 만든 끈(언손으로 쉽게 여미거너 열 수 있도록) . ... DUFFLE COAT② 보온효과를 높여주는 요크(yoke)③ 단추대신 (상아,나무등으로) 만든 토글과 삼으로 만든 프로그① 방한을 위한 후드④ 조임장식2) 디자인 특징⑤ 큰사이즈 붙임
    리포트 | 30페이지 | 5,000원 | 등록일 2019.07.03
  • 디지털 실험 2 PAM TDM
    PAM0-TDMM1.M2가 토글을 하기 전에는 동 대역 시간과 토글이 없는 비동 대역 시간비교 및 고찰1 각 TDM 프레임은 SLOT COUNTER에 의해 세 개의 시간대역으로 나뉘어진다
    리포트 | 3페이지 | 1,000원 | 등록일 2015.12.10
  • 논리회로실험 예비 8
    또 다시 J=B’=1이 인가되므로 토글이 되며 A=1이 된다. 2F/F의 J, K가 모두 1이 되므로 토글이 일어나게 된다. ... 이 후 클럭이 인가되면 토글이 일어나게 된다. 다음으로 A=0이기 때문에 B는 0인 상태로 유지된다. ... 또한, 뒷 F/F의 클럭엔 앞의 결과 Q가 인가되므로 앞 F/F의 값이 1->0으로 변해 하강 엣지가 생성될 경우 토글 되게 된다.
    리포트 | 10페이지 | 2,000원 | 등록일 2016.09.24 | 수정일 2021.10.31
  • [기초전자회로실험2] Verilog 언어를 이용한 Sequential Logic 설계 예비레포트
    다만 D플립플롭의 경우 Set과 Reset만 존재하므로 응용에 제한을 받는다.J-K 플립플롭의 경우 SR플립플롭의 무효 출력 상태를 토글이라 부르는 역의 상태로 출력하여 문제점을 해결하여
    리포트 | 7페이지 | 1,000원 | 등록일 2019.03.27 | 수정일 2019.04.01
  • 설계실습 10. 4-bit Adder 회로 설계 결과
    설계실습 내용 및 분석(1) 설계실습 계획서 (3)에서 그린 2-단계 전가산기 회로를 토글 스위치와 LED를 추가하여 설계 및 구현하여라. ... (2) 설계실습 계획서 (4)에서 그린 XOR gate를 이용한 다단계 전가산기 회로를 토글 스위치와 LED를 추가하여 설계 및 구현하여라. ... 측정된 전압이 토글 스위치와 LED 값과 일치하는지 확인하여라.ABCinSCout0000.18V0.18V004.64V2.54V0.17V04.64V02.55V0.17V04.63V4.63V0.18V2.63V4.64V002.49V0.17V4.64V04.64V0.18V2.63V4.63V4.63V00.18V2.57V4.42V4.42V4.42V2.53V2.55V
    리포트 | 2페이지 | 1,500원 | 등록일 2010.11.12
  • RS와D플립플롭실험(결과)
    클럭신호를 Vcc에 걸어주었다.입력 신호출력 신호클럭데이터QQ'CPD000.093.88013.890.09100.093.87113.890.09(3) 실험 3 : 토글동작하는 D-FF의
    리포트 | 6페이지 | 2,000원 | 등록일 2012.10.11
  • 디지털 IC; 플립플롭 결과
    J와 K가 동시에 높은 값일 때 플립-플롭이 토글되는 것을 볼 수 있다.
    리포트 | 4페이지 | 1,000원 | 등록일 2017.10.11 | 수정일 2017.10.27
  • 랩뷰(LabView) 사용법 및 동작 구조 설명 보고서
    이때 Frequency노브와 Amplitude 노브를 마우스로 돌려가면서 주파수와 진폭의 변화 정도를 눈으로 볼 수 있다.③ 수직 토글 스위치: [케이스 구조] 함수를 직접적으로 실행할
    리포트 | 5페이지 | 2,000원 | 등록일 2019.01.29
  • [디지털 논리회로 실험] 13장. 동기식 D, T 플립플롭 결과레포트
    TQ초기화0111201301410511601710811- 고찰 -T 플립플롭은 토글(Toggle) 플립플롭이다. 토글현상은 JK 플립플롭의 입력 JK="11"일 때 발생한다. ... T=0 인 경우에는 출력 Q는 이전상태와 동 일하고, T=1 이면 출력 Q는 이전 상태와 반대값으로 토글 된다. 1개의 입력단자 T에 클록펄스(clock pulse)가 들어올 때마다
    리포트 | 3페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2022.10.13
  • FPGA설계 - DE2보드 사용 버스 하차 시스템
    이것을 인지한 버스기사가 토글 스위치를 이용하여 모터를 제어 하여 문을 연다 . ... 손님이 내리고 나면 또 다 른 토글 스위치를 이용하여 문을 닫으며 모든 값을 초기화 한다 .2-1 세부회로도 (RTL viewer)3 .
    리포트 | 13페이지 | 2,000원 | 등록일 2016.12.24 | 수정일 2018.02.09
  • [기초전자회로실험1] "D latch and D flip-flop, J-K flip-flop" 예비보고서
    다만 D플립플롭의 경우 Set과 Reset만 존재하므로 응용에 제한을 받는다.J-K 플립플롭의 경우 SR플립플롭의 무효 출력 상태를 토글이라 부르는 역의 상태로 출력하여 문제점을 해결하여
    리포트 | 8페이지 | 1,500원 | 등록일 2019.03.20 | 수정일 2019.03.29
  • 컴퓨터구조및실습 순차회로 설계
    00리셋00 00리셋00 00리셋110 10토글11 00토글00 00리셋00 00리셋110 10토글11 00토글11 10토글00 00리셋110 10토글11 00토글11 10토글10 ... TableJK 플립플롭 Excitation Table입력현재상태다음상태비고J KQ(t)Q(t+1)0 000유지기능0 0110 100리셋기능0 1101 001세트기능1 0111 101토글기능1 ... 입력출력IS1S0S1S0J1K1J0K0F00000010100010001010010000101001100010101000100110101101111011011001101110011111Sequential Detector Circuit Result입력상태출력비고ICLKS1S0F100 00리셋00 00리셋00 00리셋00 00리셋110 10토글00
    리포트 | 6페이지 | 1,000원 | 등록일 2014.11.13
  • 동기순차 논리회로 해석 및 시스템 설계
    ;불변0;리셋1; 셋0;토글그림 6-17 특성식을 위한 맵 J(n)K(n)Q(n)000111100001111001따라서 Q(n+1) = J(n)RM BAR Q(n) + BAR K(n ... 다르게 표현하여 얻는다.표 6-9 특성식을 유도하기 위한 특성표Q(n)J(n) K(n)Q(n+1)00 000 101 001 110 010 111 011 10;불변0;리셋1; 셋1;토글1 ... 시스템 설계6.3.1 JK 플립플롭의 특성과 회로설계JK 플립플롭의 특성은 특성표에 의해 묘사된다.J(n)K(n)Q(n+1)00011011Q(n);불변0;리셋1; 셋Q'(n) ;보수(토글
    리포트 | 31페이지 | 5,000원 | 등록일 2017.12.31
  • 2018 성사랑사회A형 특정한 여성주의나 여성주의적 사회운동의 사례를 골라서 (한국 혹은 해외 사례 모두 가능) 그 운동이 해결하고자 했던 사회적 과제는 무엇이며, 어떤 현실에 대한 저항으로 나타나게 된 것인지, 그들은 어떤 방향으로 현실을 개선해가고자 했는지를 서술하라.
    세레나는 이에 대해서 크게 항의를 하였는데, 플레이어 박스에 있는 세레나의 코치 패트릭 무라토글루가 코칭을 하는 제스처를 보내는 것이 TV 중계화면에 잡히기도 했다.자신의 서비스 게임인
    방송통신대 | 10페이지 | 5,000원 | 등록일 2018.09.15 | 수정일 2020.11.27
  • 디지털실험 - 실험 9. 플립플롭의 기능 예비
    ▷T Flip flopT 플립플롭은 토글(toggle) 플립플롭 또는 트리거(trigger) 플립플롭이라고도 한다. ... 다른 점은 JK flip flop의 2개 입력에 high상태가 가해지면 출력상태는 토글(toggle:상태전환)한다는 것이다.JK flip flop에서는 입력이 모두 1일 때 출력이 ... chart를 이용하여 설명하라.Race problem이란 level trigger 방식의 회로에서 클럭 펄스의 지연시간이 데이터의 입력변화시간보다 클 때 출력Q 값이 불안정하게 토글되는
    리포트 | 15페이지 | 1,500원 | 등록일 2017.04.02
  • 안드로이드 요점정리
    토글 버튼 (ToggleButton)- XML로 토글 버튼을 정의한다11.
    리포트 | 11페이지 | 1,000원 | 등록일 2018.05.14
  • 전자공학실험 23장 - 비교기 예비결과
    즉, 입력 신호가 0V 레벨을 통과하지 않을 때도 잡음에 의해서 출력이 토글되고 있는 것이다. ... 기준 전압인 0V근처에서 발생하는 잡음은 비교기 출력을 변화시켜 토글시키고 있음을 볼 수 있다. ... 즉, 입력 신호가 0V 레벨을 통과하지 않을 때도 잡음에 의해서 출력이 토글되고 있는 것이다. 이것은 곧바로 0레벨 검출기의 오류로 나타나게 된다.
    리포트 | 9페이지 | 3,000원 | 등록일 2016.04.19 | 수정일 2016.04.21
  • 비전하 실험; Charge to mass ratio 결과 보고서
    방법1) 실내를 어둡게 한다.2) 토글 스위치를 e/m measure 위치로 올려놓는다.3) 헬름흘츠코일의 전류 조정 손잡이를 off에 놓는다.4) 전원장치와 미터계를 e/m 장치의
    리포트 | 3페이지 | 1,000원 | 등록일 2018.11.14
  • 사이리스터 모듈의 사용법
    사이리스터모듈의 두 개의 토글스위치를 이용해서 만들어 질 수 있는 내부 접속을 알아보기 위해 저항계를 이용한다.? ... 사이리스터모듈의 토글스위치와 저항 부하 모듈의 스위치가 모두 O에 있도록 한다.가. 사이리스터모듈의 내부 접속 스위치■ 6. ... 상부의 토글스위치가 I로 설정될 때는, 사이리스터{ Q}_{1 } , { Q}_{2 } , { Q}_{3 }들의 캐소드를 내부 접속하게 되고{ Q}_{4 } , { Q}_{5 } ,
    리포트 | 8페이지 | 1,500원 | 등록일 2016.01.12
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 18일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:15 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대