• LF몰 이벤트
  • 유니스터디 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(458)
  • 리포트(409)
  • 논문(22)
  • 자기소개서(9)
  • 방송통신대(9)
  • 시험자료(5)
  • 이력서(2)
  • 서식(1)
  • ppt테마(1)

"Simulator Program" 검색결과 221-240 / 458건

  • stella사용법
    ⅠSystem Dynamics 와 Simulation SoftwareSystem Dynamics Software의 의미 Special Programming Language  Mechanics ... Simulation process 의 각 단계별로 필요한 모든 Tool을 통합한 최초의 프로그램.  High Performance System, Inc., STELLA Program ... of Computer Simulation  A System Dynamics Tool  Simulator for Simulation  Simulation Agent Platform
    리포트 | 19페이지 | 2,000원 | 등록일 2010.05.07
  • 7. RESIN MOLD 설계(Molding)
    PROGRAM더우기, 그림 218 의 DATA 는 양산용 실제금형의 SIMULATION 결과이다. ... PROGRAM 의 BLOCK DIAGRAM 을나타냈다. ... 이 RESIN 유동 SIMULATION 수법을 사용하여, 다음항 이후의성형제어법및 금력의변화
    리포트 | 64페이지 | 3,000원 | 등록일 2011.09.17
  • 4WD 하이브리드 차량의 시스템 파라미터 변화에 따른 연비 민감도 분석
    한국기계기술학회 김기영, 임원식, 차석원
    논문 | 6페이지 | 4,000원 | 등록일 2016.05.03 | 수정일 2023.04.05
  • 전세계 주요 CAD / CAM / Simulation SW 리스트
    SOFTWARE INTERNATIONALsolar calc™Design software for photovoltaic installation"Solar CalcTM is a software program ... Calculation, Simulation, Modeling software"Modeling softwareEdgecamEdgecam Part Modeler3D modeling software"Rapid
    리포트 | 345페이지 | 5,000원 | 등록일 2014.03.26 | 수정일 2015.01.21
  • Door Lock 프로젝트 계획서
    IDE에서 C18 CompilerTool 사용 배경)MPLAB은 프로그램상에서Device의 프로그램을 Simulation이 가능 함으로 Device에 Write 하지않고 미리 결과를 ... Device & Program Tool▷ 5. 사용 될 부품 종류▷ 6. 전체 개발 계획..PAGE:3제작 목적 및 목표1. ... 기존 16F877A 보다 큰 P.Memory제공2. 10MIPS의 처리속도 제공3. 16bit Timer 3개 제공 및 10bit PWM제공..PAGE:8Program Tool4.
    리포트 | 11페이지 | 1,000원 | 등록일 2011.04.19
  • 2011년 시뮬레이션 과제 C형
    프로그램 (언어 : VC++)// SIMULATION FOR DOUBLE QUEUEING SYSTEM //// TO EVALUATE MEAN ... length //// TOTARR : the totals of arrivals //// PROGRAM
    리포트 | 10페이지 | 7,000원 | 등록일 2011.04.13
  • 8051 Simulator 사용
    마이크로 프로세스 응용(8051 Simulator)#마이크로 프로세스 응용 8051 Simulator1. ... Shown above is a program being single-stepped (execution is currently at location 002CH in code memory ... Modes / Keypad And External 1 Interrupt- Intel HEX Reader/Writer- External UART Baud Rate Selector- Simulator
    리포트 | 8페이지 | 1,000원 | 등록일 2010.10.17
  • 컴퓨터공학개론 솔루션(computer Science illumanted fourth edition)
    The program counter(PC) contains the address of the next instruction to be executed.
    리포트 | 7페이지 | 4,000원 | 등록일 2013.01.15
  • Xilinx IP core의 설계 및 VHDL의 기초 설계법
    HYPERLINK \l "_Toc184483310" 1.서론 PAGEREF _Toc184483310 \h 4 HYPERLINK \l "_Toc184483311" 2.간단한VHDL Program ... Package에서 668은 핀수를 의미하며 -10은 스피드를 나타낸다 여기서 수치가 높을수록 높은 등급의 스피드를 나타낸다.ISE9.1에서는 자체적으로 ISE Simulator을 지원한다 ... "_Toc184483336" 그림 14 DCM Block PAGEREF _Toc184483336 \h 18 HYPERLINK \l "_Toc184483337" 그림 15 ISE Simulation
    리포트 | 29페이지 | 3,000원 | 등록일 2012.03.14 | 수정일 2017.02.24
  • 논리회로설계 프로젝트 - abel 학번구하기
    1,15]->[H,H,L,L,H,H,H];"P[1,9]->[H,H,H,L,L,L,L];"J[1,7]->[L,H,H,L,H,H,H];"HEND hooniv4시뮬레이션 결과 (SIM파일)Simulate ... 처음 작성한 abel 파일 - 실행시 out파일이 생성되지 않음.MODULE hooniv2TITLE 'My Sample Program'hooniv DEVICE 'P22V10';"INPUT ... ABEL(tm) 3.00bFile:'hooniv4.out' Module:'hooniv4' Device:'hooniv' Part:'P22V10'My Sample Program12 out
    리포트 | 7페이지 | 2,000원 | 등록일 2014.10.07
  • 영문초록
    대한교통학회 편집부
    논문 | 6페이지 | 3,000원 | 등록일 2015.03.25 | 수정일 2017.02.02
  • - 연구계획서 - 임상실습 전 통합실습시뮬레이션 프로그램을 시행한 간호학생의 임상실습 만족도 및 임상수행능력
    용어의 정의1)통합실습시뮬레이션프로그램(Simulation-based Integrated Clinical Practice Program 시뮬레이션 기반 통합실습 프로그램(SICPP)
    리포트 | 3페이지 | 1,000원 | 등록일 2014.06.28
  • 사방댐요약보고서(파워포인트)
    ) 작업Hec-Ras program구 조 물 규모,재료 결정실시설계 적 용- 유량, 유속 및 소류력 등의 분석을 통하여 구조물의 단면 및 재료선정. ... 적용우량 관측소 선정 울릉관측소 (1973~2004년 기상청자료)기상청984m도 동자 기울 릉관리청해 발위 치관측종별관측소명빈도해석 모식도분 석 방 법수문분석에 따른 시뮬레이션(Simulation
    리포트 | 17페이지 | 1,000원 | 등록일 2014.09.12
  • 비안정 멀티바이브레이터 설계 결과 보고서
    OrCAD Simulation- 회로에 직접 구성하기 전 OrCAD Simulation Program을 이용하여 회로가 설계에 적합하게 정상적으로 동작하는지 확인한다.4. ... BreadBoard Test- Simulation으로 확인한 회로를 실제 소자를 이용하여 확인한다. ... 이때, OrCAD Simulation과 BreadBoard로 확인한 값이 정상적으로 되는지 확인한다.회로도Ⅳ1.
    리포트 | 20페이지 | 3,000원 | 등록일 2011.06.30
  • MCU ( 입/출력 포트 제어)
    Running the program? Simulation 실행그림 2-13. ... Program Memory View? Program memory 상태를 확인그림 2-6. Program Memory 상태 확인4. Data Memory (File) View? ... MPLAB® Simulator? Simulation 상태로 설정그림 2-9. Simulation 상태 설정7. Timer ( timer0, timer1, timer2 )?
    리포트 | 9페이지 | 1,000원 | 등록일 2009.08.10
  • 서울대입구역 3번 출구의 버스 정류장 위치 변경을 통한 혼잡도 완화 연구
    분석 기법 및 도구 : Arena Simulation Program73.3. 변수 설정83.3.1. 독립변수83.3.2. 종속변수83.4.
    리포트 | 43페이지 | 3,000원 | 등록일 2012.03.06
  • lab10-pre MOSFET Parameter 추출과증폭기 및 스위치 회로
    위에서 구한 시뮬레이션 값과 Excel Program을 이용하여 VT 대 ()의 그래프 Line regression하면 다음과 같다. ... 위에서 구한 시뮬레이션 값과 Excel Program을 이용하여 VT 대 ()의 그래프 Line regression하면 다음과 같다. ... [NMOS]그림 SQRT(0.8+ABS(VBS))-SQRT(VBS)Vs Vt graphLine regression을 통하여,를 얻었고 이 값은 이론값과 거의 일치 한다.그림 Simulation
    리포트 | 8페이지 | 1,000원 | 등록일 2010.08.29
  • Combinational Logic Design Using FPGAs
    While I doing the prelab, I got used to some basic functions of this program such as ‘AND’, ‘OR’, ‘NAND ... Codemodule lab1_1(a,c,f);input a,c;output f;assign f= a|c;endmodule[Figure1_Logic Circuit][Figure2_Simulated ... x,y,z,g);input x,y,z;output g;assign g =(~x|~y|~z)&(x|y|z);endmodule[Figure3_Logic Circuit][Figure4_Simulated
    리포트 | 14페이지 | 1,000원 | 등록일 2012.02.11
  • 마이크로 로봇 예제풀이 소스코드 exp105
    마이크로로봇 (EXP 105 문제 풀이)INDEX문 제 SIMULATION FLOW CHART PROGRAM AVR BOARD ACTING문 제예제 105) 다음과 같은 동작이 반복하는 ... = outdata+2; if(outdata MAX_VALUE) outdata = MIN_VALUE; PORTC = ~outdata; } } }PROGRAM(7)void delay( ... =0) { outdata=outdata+2; if(outdata MAX_VALUE) outdata = 0x01; PORTC = ~outdata; }PROGRAM(6)else { outdata
    리포트 | 15페이지 | 1,000원 | 등록일 2010.04.21
  • VHDL을 이용한 논리 게이트 실습
    이 단계는 입력 된 디자인을 실제 디지털 회로로 변환 하는 과정이다.합성이 완료가 되면 그 다음 단계는 세 번째로 기능 시뮬레이션(Fucntional Simulation)단계이다. ... 그런 다음 Program/ Comfigure 부분의 체크가 되어있는지를 확인하고 Start를 누르면위 사진과 같이 저부분의 진행바가 채워지면서 100%가 되면 다운로드가 완료된다.보드의
    리포트 | 28페이지 | 1,000원 | 등록일 2014.05.31 | 수정일 2014.06.02
AI 챗봇
2024년 09월 03일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:27 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대